DP-fill: A dynamic programming approach to X-filling for minimizing peak test power in scan tests

At-speed testing is crucial to catch small delay defects that occur during the manufacture of high performance digital chips. Launch-Off-Capture (LOC) and Launch-Off-Shift (LOS) are two prevalently used schemes for this purpose. LOS scheme achieves higher fault coverage while consuming lesser test time over LOC scheme, but dissipates higher power during the capture phase of the at-speed test. Excessive IR-drop during capture phase on the power grid causes false delay failures leading to significant yield reduction that is unwarranted. As reported in literature, an intelligent filling of don't care bits (X-filling) in test cubes has yielded significant power reduction. Given that the tests output by automatic test pattern generation (ATPG) tools for big circuits have large number of don't care bits, the X-filling technique is very effective for them. Assuming that the design for testability (DFT) scheme preserves the state of the combinational logic between capture phases of successive patterns, this paper maps the problem of optimal X-filling for peak power minimization during LOS scheme to a variant of interval coloring problem and proposes a dynamic programming (DP) algorithm for the same along with a theoretical proof for its optimality. To the best of our knowledge, this is the first ever reported X-filling algorithm that is optimal. The proposed algorithm when experimented on ITC99 benchmarks produced peak power savings of up to 34% over the best known low power X-filling algorithm for LOS testing. Interestingly, it is observed that the power savings increase with the size of the circuit.

[1]  Kenneth M. Butler,et al.  A case study of ir-drop in structured at-speed testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[2]  Patrick Girard,et al.  Circuit partitioning for low power BIST design with minimized peak power consumption , 1999, Proceedings Eighth Asian Test Symposium (ATS'99).

[3]  Kaushik Roy,et al.  Low-power scan design using first-level supply gating , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Serge Pravossoudovitch,et al.  Reducing power consumption during test application by test vector ordering , 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).

[5]  L. Dilillo,et al.  Power reduction through X-filling of transition fault test vectors for LOS testing , 2011, 2011 6th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

[6]  Kaushik Roy,et al.  First level hold: a novel low-overhead delay fault testing technique , 2004, 19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2004. DFT 2004. Proceedings..

[7]  A. Arulmurugan,et al.  Survey of low power testing of VLSI circuits , 2012, 2012 International Conference on Computer Communication and Informatics.

[8]  Janusz Rajski,et al.  Test Power Reduction by Blocking Scan Cell Outputs , 2008, 2008 17th Asian Test Symposium.

[9]  Xiaoling Sun,et al.  Toggle-masking for test-per-scan VLSI circuits , 2004 .

[10]  V. Kamakoti,et al.  Glitch-Aware Pattern Generation and Optimization Framework for Power-Safe Scan Test , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[11]  V. Kamakoti,et al.  PMScan : A power-managed scan for simultaneous reduction of dynamic and leakage power during scan test , 2007, 2007 IEEE International Test Conference.

[12]  Kuen-Jong Lee,et al.  Peak-power reduction for multiple-scan circuits during test application , 2000, Proceedings of the Ninth Asian Test Symposium.

[13]  Parameswaran Ramanathan,et al.  Power and Thermal Constrained Test Scheduling Under Deep Submicron Technologies , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Pankaj Pant,et al.  Lessons from at-speed scan deployment on an Intel® Itanium® microprocessor , 2010, 2010 IEEE International Test Conference.

[15]  V. Kamakoti,et al.  A stochastic pattern generation and optimization framework for variation-tolerant, power-safe scan test , 2007, 2007 IEEE International Test Conference.

[16]  V. Kamakoti,et al.  XStat: Statistical X-Filling Algorithm for Peak Capture Power Reduction in Scan Tests , 2014, J. Low Power Electron..

[17]  Kaushik Roy,et al.  First level hold: a novel low-overhead delay fault testing technique , 2004 .

[18]  Kathryn Fraughnaugh,et al.  Introduction to graph theory , 1973, Mathematical Gazette.

[19]  V. Kamakoti,et al.  An Efficient Heuristic for Peak Capture Power Minimization During Scan-Based Test , 2013, J. Low Power Electron..

[20]  Irith Pomeranz,et al.  Techniques for minimizing power dissipation in scan and combinational circuits during test application , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  Ozgur Sinanoglu,et al.  Peak Power Reduction Through Dynamic Partitioning of Scan Chains , 2008, 2008 IEEE International Test Conference.

[22]  Hans-Joachim Wunderlich,et al.  Minimized Power Consumption for Scan-Based BIST , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[23]  Hans-Joachim Wunderlich,et al.  Minimized Power Consumption for Scan-Based BIST , 2000, J. Electron. Test..

[24]  Chidhambaranathan Rajamanikkam,et al.  LPScan: An algorithm for supply scaling and switching activity minimization during test , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

[25]  Nur A. Touba,et al.  Controlling peak power during scan testing , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).