Process Variability—Technological Challenge and Design Issue for Nanoscale Devices

Current advanced transistor architectures, such as FinFETs and (stacked) nanowires and nanosheets, employ truly three-dimensional architectures. Already for aggressively scaled bulk transistors, both statistical and systematic process variations have critically influenced device and circuit performance. Three-dimensional device architectures make the control and optimization of the device geometries even more important, both in view of the nominal electrical performance to be achieved and its variations. In turn, it is essential to accurately simulate the device geometry and its impact on the device properties, including the effect caused by non-idealized processes which are subject to various kinds of systematic variations induced by process equipment. In this paper, the hierarchical simulation system developed in the SUPERAID7 project to study the impact of variations from equipment to circuit level is presented. The software system consists of a combination of existing commercial and newly developed tools. As the paper focuses on technological challenges, especially issues resulting from the structuring processes needed to generate the three-dimensional device architectures are discussed. The feasibility of a full simulation of the impact of relevant systematic and stochastic variations on advanced devices and circuits is demonstrated.

[1]  P. Evanschitzky,et al.  Coupling of equipment simulation and feature-scale profile simulation for dry-etching of polysilicon gate lines , 2010, 2010 International Conference on Simulation of Semiconductor Processes and Devices.

[2]  Andrew R. Brown,et al.  Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs , 2003 .

[3]  Asen Asenov,et al.  Process informed accurate compact modelling of 14-nm FinFET variability and application to statistical 6T-SRAM simulations , 2016, 2016 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

[4]  C. Kampen,et al.  Hierarchical Simulation of Process Variations and Their Impact on Circuits and Systems: Results , 2011, IEEE Transactions on Electron Devices.

[5]  S. Selberherr,et al.  Hierarchical Simulation of Process Variations and Their Impact on Circuits and Systems: Methodology , 2011, IEEE Transactions on Electron Devices.

[6]  Peter Evanschitzky,et al.  Double patterning: Simulating a variability challenge for advanced transistors , 2013, 2013 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

[7]  O. Rozeau,et al.  NSP: Physical compact model for stacked-planar and vertical Gate-All-Around MOSFETs , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).

[8]  Asen Asenov,et al.  Variability-aware compact model strategy for 20-nm bulk MOSFETs , 2014, 2014 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

[9]  Eberhard Baer,et al.  The Effect of Etching and Deposition Processes on the Width of Spacers Created during Self-Aligned Double Patterning , 2018, 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

[10]  Asen Asenov,et al.  Process Variability for Devices at and beyond the 7 nm Node , 2018 .

[11]  Toshiro Hiramoto,et al.  Random Fluctuations in Scaled MOS Devices , 2009, 2009 International Conference on Simulation of Semiconductor Processes and Devices.

[12]  Andrew R. Brown,et al.  Impact of Metal Gate Granularity on Threshold Voltage Variability: A Full-Scale Three-Dimensional Statistical Simulation Study , 2010, IEEE Electron Device Letters.

[13]  Alek C. Chen,et al.  The lithography technology for the 32 nm HP and beyond , 2008, Photomask Japan.

[14]  Peter Evanschitzky,et al.  Simulation of process variations in FinFET transistor patterning , 2016, 2016 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

[15]  A. Wong Optical Imaging in Projection Microlithography , 2005 .

[16]  Yi Liu,et al.  Materials for single-etch double patterning process: surface curing agent and thermal cure resist , 2009, Advanced Lithography.

[17]  Peter Norton,et al.  Python , 2019, login Usenix Mag..

[18]  Siegfried Selberherr,et al.  Coupled simulation to determine the impact of across wafer variations in oxide PECVD on electrical and reliability parameters of through-silicon vias , 2015 .

[19]  Asen Asenov,et al.  Hierarchical variability-aware compact models of 20nm bulk CMOS , 2015, 2015 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

[20]  A. Burenkov,et al.  Simultaneous simulation of systematic and stochastic process variations , 2014, 2014 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).