Control-theoretic dynamic voltage scaling for embedded controllers

For microprocessors used in real-time embedded systems, minimising power consumption is difficult due to the timing constraints. Dynamic voltage scaling (DVS) has been incorporated into modern microprocessors as a promising technique for exploring the trade-off between energy consumption and system performance. However, it remains a challenge to realise the potential of DVS in unpredictable environments, where the system workload cannot be accurately known. By addressing system-level power-aware design for DVS-enabled embedded controllers, an analytical model has been established for the DVS system that encompasses multiple real-time control tasks. From this model, a feedback control based approach to power management is developed to reduce dynamic power consumption, while achieving good application performance. With this approach, the unpredictability and variability of task execution times can be attacked. Thanks to the use of feedback control theory, predictable performance of the DVS system is achieved, which is favourable to real-time applications. Extensive simulations are conducted to evaluate the performance of the proposed approach.

[1]  Feng Xia,et al.  An Efficient Approach to Energy Saving in Microcontrollers , 2006, Asia-Pacific Computer Systems Architecture Conference.

[2]  Feng Xia,et al.  Dynamic Voltage Scaling with Asynchronous Period Adjustment for Embedded Controllers , 2006 .

[3]  Dakai Zhu,et al.  System-Level Energy Management for Periodic Real-Time Tasks , 2006, 2006 27th IEEE International Real-Time Systems Symposium (RTSS'06).

[4]  Niraj K. Jha,et al.  Low-power system scheduling, synthesis and displays , 2005 .

[5]  Hongan Wang,et al.  Feedback fuzzy-DVS scheduling of control tasks , 2006, The Journal of Supercomputing.

[6]  Anantha Chandrakasan,et al.  Embedded power supply for low-power DSP , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[7]  Hyung Sun Lee,et al.  Dynamic Voltage Scaling for Digital Control System Implementation , 2005 .

[8]  Feng Xia,et al.  Feedback scheduling of real-time control systems with resource constraints , 2007 .

[9]  Bruce Jacob,et al.  A control-theoretic approach to dynamic voltage scheduling , 2003, CASES '03.

[10]  Frank Mueller,et al.  Feedback EDF Scheduling of Real-Time Tasks Exploiting Dynamic Voltage Scaling , 2005, Real-Time Systems.

[11]  Kevin Skadron,et al.  Reducing multimedia decode power using feedback control , 2003, Proceedings 21st International Conference on Computer Design.

[12]  Margaret Martonosi,et al.  Formal online methods for voltage/frequency control in multiple clock domain microprocessors , 2004, ASPLOS XI.

[13]  Chung Laung Liu,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[14]  Yixin Diao,et al.  Feedback Control of Computing Systems , 2004 .

[15]  Christos G. Cassandras,et al.  Optimal Dynamic Voltage Scaling in Energy-Limited Nonpreemptive Systems with Real-Time Constraints , 2007, IEEE Transactions on Mobile Computing.

[16]  Nagarajan Kandasamy,et al.  An Online Control Framework for Designing Self-Optimizing Computing Systems: Application to Power Management , 2005, Self-star Properties in Complex Information Systems.

[17]  Petru Eles,et al.  Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[18]  Anantha Chandrakasan,et al.  Energy Efficient Real-Time Scheduling , 2001, ICCAD.

[19]  Feng Xia,et al.  An Enhanced Dynamic Voltage Scaling Scheme for Energy-Efficient Embedded Real-Time Control Systems , 2006, ICCSA.

[20]  Pedro Mejía-Alvarez,et al.  Feedback scheduling of power-aware soft real-time tasks , 2005, Sixth Mexican International Conference on Computer Science (ENC'05).

[21]  Israel Koren,et al.  System-level power-aware design techniques in real-time systems , 2003, Proc. IEEE.

[22]  Sang Hyuk Son,et al.  Feedback Control Real-Time Scheduling: Framework, Modeling, and Algorithms* , 2001, Real-Time Systems.

[23]  Hojung Cha,et al.  Memory-aware dynamic voltage scaling for multimedia applications , 2006 .

[24]  Kang G. Shin,et al.  Real-time dynamic voltage scaling for low-power embedded operating systems , 2001, SOSP.

[25]  Alessandro Pisano,et al.  A Control Theoretic Approach to Run-Time Energy Optimization of Pipelined Processing in MPSoCs , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[26]  Xiaodong Wang,et al.  Feedback scheduling of real-time control tasks in power-aware embedded systems , 2005, Second International Conference on Embedded Software and Systems (ICESS'05).

[27]  Olivier Sename,et al.  Robust control/scheduling co-design: application to robot control , 2005, 11th IEEE Real Time and Embedded Technology and Applications Symposium.

[28]  Feng Xia,et al.  Control-Scheduling Codesign: A Perspective on Integrating Control and Computing , 2008, ArXiv.

[29]  Karl-Erik Årzén,et al.  Conclusions of the ARTIST2 roadmap on control of computing systems , 2006, SIGBED.

[30]  Kevin Skadron,et al.  Control-theoretic dynamic frequency and voltage scaling for multimedia workloads , 2002, CASES '02.