Combination-Encoding Content-Addressable Memory With High Content Density

Recently, resistance switch-based content-addressable memory (RCAM) has been proposed as an alternative to the mainstream static random-access memory-based CAM because of its high integration potential and low static energy consumption. However, RCAM has a lower data density due to the use of a pair of resistance switches for a single bit of contents (0.5 bit/switch) than resistive random access memory (1 bit/switch). In this paper, we propose a new type of RCAM referred to as combination-encoding CAM (CECAM). In the <inline-formula> <tex-math notation="LaTeX">$N$ </tex-math></inline-formula>-CECAM, a single unit consists of <inline-formula> <tex-math notation="LaTeX">$N$ </tex-math></inline-formula> high and <inline-formula> <tex-math notation="LaTeX">$N$ </tex-math></inline-formula> low resistance state switches whose combination collectively represents binary contents, yielding a data density of approximately 0.85 bit/switch when <inline-formula> <tex-math notation="LaTeX">$N =10$ </tex-math></inline-formula>, for instance. The key to the CECAM is the encoding of an <inline-formula> <tex-math notation="LaTeX">$n$ </tex-math></inline-formula>-bit search key as a <inline-formula> <tex-math notation="LaTeX">$2N$ </tex-math></inline-formula>-digit key and its decoding. To this end, we propose a simple algorithm for encoding and decoding and its implementation in digital circuitry.

[1]  Meng-Fan Chang,et al.  ReRAM-based 4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speed-wordlength-capacity for normally-off instant-on filter-based search engines used in big-data processing , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.

[2]  B. Giraud,et al.  In-depth Characterization of Resistive Memory-Based Ternary Content Addressable Memories , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[3]  Piero Olivo,et al.  Experimental Investigation of 4-kb RRAM Arrays Programming Conditions Suitable for TCAM , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Runze Han,et al.  A novel ternary content addressable memory design based on resistive random access memory with high intensity and low search energy , 2018 .

[5]  Jing Li,et al.  1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing , 2014, IEEE Journal of Solid-State Circuits.

[6]  Nen-Fu Huang,et al.  Design of multi-field IPv6 packet classifiers using ternary CAMs , 2001, GLOBECOM'01. IEEE Global Telecommunications Conference (Cat. No.01CH37270).

[7]  Jongkil Park,et al.  Reconfigurable Spike Routing Architectures for On-Chip Local Learning in Neuromorphic Systems , 2018, Advanced Materials Technologies.

[8]  Engin Ipek,et al.  A resistive TCAM accelerator for data-intensive computing , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[9]  Peilin Song,et al.  1Mb 0.41 µm2 2T-2R cell nonvolatile TCAM with two-bit encoding and clocked self-referenced sensing , 2013, 2013 Symposium on VLSI Circuits.

[10]  Paul Francis,et al.  Fast routing table lookup using CAMs , 1993, IEEE INFOCOM '93 The Conference on Computer Communications, Proceedings.

[11]  Dhiraj K. Pradhan,et al.  Low Cost Memristor Associative Memory Design for Full and Partial Matching Applications , 2016, IEEE Transactions on Nanotechnology.

[12]  Charles A. Zukowski,et al.  VLSI implementation of routing tables: tries and CAMs , 1991, IEEE INFCOM '91. The conference on Computer Communications. Tenth Annual Joint Comference of the IEEE Computer and Communications Societies Proceedings.

[13]  加藤 隆二,et al.  A Content Addressable Distributed Logic Memory with Applications to Information Retrieval , 1963 .

[14]  C. Zukowski,et al.  Putting routing tables in silicon , 1992, IEEE Network.

[15]  Yiran Chen,et al.  NV-TCAM: Alternative interests and practices in NVM designs , 2014, 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).

[16]  Sethuraman Panchanathan,et al.  A content-addressable memory architecture for image coding using vector quantization , 1991, IEEE Trans. Signal Process..

[17]  Tetsuo Endoh,et al.  Fully parallel 6T-2MTJ nonvolatile TCAM with single-transistor-based self match-line discharge control , 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.

[18]  Hiroyuki Kawai,et al.  A 250-MHz 18-Mb Full Ternary CAM With Low-Voltage Matchline Sensing Scheme in 65-nm CMOS , 2013, IEEE Journal of Solid-State Circuits.

[19]  Ali Sheikholeslami,et al.  A mismatch-dependent power allocation technique for match-line sensing in content-addressable memories , 2003 .

[20]  A. Sheikholeslami,et al.  Match Sensing Using Match-Line Stability in Content-Addressable Memories (CAM) , 2008, IEEE Journal of Solid-State Circuits.

[21]  Shingo Ata,et al.  Effective bit selection methods for improving performance of packet classifications on IP routers , 2002, Global Telecommunications Conference, 2002. GLOBECOM '02. IEEE.

[22]  Wei Liu,et al.  Ge-Based Asymmetric RRAM Enable $8{F}^{2}$ Content Addressable Memory , 2018, IEEE Electron Device Letters.

[23]  Tetsuo Endoh,et al.  Fine-Grained Power-Gating Scheme of a Metal–Oxide–Semiconductor and Magnetic-Tunnel-Junction-Hybrid Bit-Serial Ternary Content-Addressable Memory , 2010 .

[24]  Chung Lam,et al.  Demonstration of CAM and TCAM Using Phase Change Devices , 2011, 2011 3rd IEEE International Memory Workshop (IMW).

[25]  C. Y. Lee,et al.  A content addressable distributed logic memory with applications to information retrieval , 1963 .

[26]  An Chen,et al.  A Comprehensive Crossbar Array Model With Solutions for Line Resistance and Nonlinear Device Characteristics , 2013, IEEE Transactions on Electron Devices.

[27]  K. Pagiamtzis,et al.  Content-addressable memory (CAM) circuits and architectures: a tutorial and survey , 2006, IEEE Journal of Solid-State Circuits.

[28]  Yiran Chen,et al.  Design of Spin-Torque Transfer Magnetoresistive RAM and CAM/TCAM with High Sensing and Search Speed , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[29]  Hung-Hsiang Jonathan Chao,et al.  Next generation routers , 2002, Proc. IEEE.

[30]  Shimeng Yu,et al.  Compact Modeling of RRAM Devices and Its Applications in 1T1R and 1S1R Array Design , 2015, IEEE Transactions on Electron Devices.