An energy-constrained makespan optimization framework in fine-to coarse-grain partitioned multicore systems
暂无分享,去创建一个
[1] Amit Kumar Singh,et al. Mapping on multi/many-core systems: Survey of current and emerging trends , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[2] Christian Bienia,et al. Benchmarking modern multiprocessors , 2011 .
[3] Hassan Ghasemzadeh,et al. Impact of Cache Voltage Scaling on Energy-Time Pareto Frontier in Multicore Systems , 2018, Sustain. Comput. Informatics Syst..
[4] Songwei Pei,et al. Statistical energy optimization on voltage-frequency island based MPSoCs in the presence of process variations , 2016, Microelectron. J..
[5] Xiaodong Wu,et al. Energy-Efficient Task Allocation for VFI-Based Real-Time Multi-core Systems , 2013, 2013 International Conference on Information Science and Cloud Computing Companion.
[6] Shashi Kumar,et al. A two-step genetic algorithm for mapping task graphs to a network on chip architecture , 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..
[7] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[8] Wang Yi,et al. Energy-efficient scheduling of real-time tasks on cluster-based multicores , 2011, 2011 Design, Automation & Test in Europe.
[9] Radu Marculescu,et al. Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation and Design Trade-Offs , 2016, IEEE Transactions on Computers.
[10] Margaret Martonosi,et al. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[11] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[12] Radu Marculescu,et al. Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance Penalty , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[13] Songwei Pei,et al. A Cost-Effective Energy Optimization Framework of Multicore SoCs Based on Dynamically Reconfigurable Voltage-Frequency Islands , 2016, TODE.
[14] Song Jin,et al. On optimizing system energy of GALS-based multi-core SoC in the presence of process variations , 2013, 2013 2nd International Symposium on Instrumentation and Measurement, Sensor Network and Automation (IMSNA).
[15] Ahmad Khonsari,et al. Reliable energy-aware application mapping and voltage-frequency island partitioning for GALS-based NoC , 2013, J. Comput. Syst. Sci..
[16] Coniferous softwood. GENERAL TERMS , 2003 .
[17] Radu Marculescu,et al. Energy efficient MapReduce with VFI-enabled multicore platforms , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[18] Arunabha Sen,et al. Efficient mapping and voltage islanding technique for energy minimization in NoC under design constraints , 2010, SAC '10.
[19] Saurabh Dighe,et al. A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling , 2011, IEEE Journal of Solid-State Circuits.
[20] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[21] Alexander Hall,et al. Energy efficient application mapping to NoC processing elements operating at multiple voltage levels , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[22] Giorgio C. Buttazzo,et al. Energy-Aware Scheduling for Real-Time Systems , 2016, ACM Trans. Embed. Comput. Syst..
[23] Ayhan Demiriz,et al. Voltage island based heterogeneous NoC design through constraint programming , 2014, Comput. Electr. Eng..
[24] Jian-Jia Chen,et al. Energy Efficient Task Partitioning Based on the Single Frequency Approximation Scheme , 2013, 2013 IEEE 34th Real-Time Systems Symposium.
[25] Radu Marculescu,et al. Dynamic power management of voltage-frequency island partitioned Networks-on-Chip using Intel's Single-chip Cloud Computer , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[26] Suleyman Tosun,et al. Genetic algorithm based NoC design with voltage/frequency islands , 2011, 2011 5th International Conference on Application of Information and Communication Technologies (AICT).
[27] Yoshinori Takeuchi,et al. Task Allocation and Scheduling for Voltage-Frequency Islands Applied NoC-based MPSoC Considering Network Congestion , 2012, 2012 IEEE 6th International Symposium on Embedded Multicore SoCs.
[28] Radu Marculescu,et al. Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.