Mitigation of homodyne crosstalk noise in silicon photonic NoC architectures with tunable decoupling
暂无分享,去创建一个
Sudeep Pasricha | Ishan G. Thakkar | Sai Vineel Reddy Chittamuru | S. V. R. Chittamuru | S. Pasricha
[1] Wei Zhang,et al. Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Wanyi Gu,et al. Coherent and incoherent crosstalk in WDM optical networks , 1999 .
[3] Yann G. Boucher. Analytical model for the coupling constant of a directional coupler in terms of slab waveguides , 2014 .
[4] Qianfan Xu,et al. Silicon microring resonators with 1.5-μm radius , 2008 .
[5] S. Xiao,et al. Modeling and measurement of losses in silicon-on-insulator resonators and bends. , 2007, Optics express.
[6] Sudeep Pasricha,et al. Crosstalk Mitigation for High-Radix and Low-Diameter Photonic NoC Architectures , 2015, IEEE Design & Test.
[7] John Kim,et al. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[8] Nikil D. Dutt,et al. Trends in Emerging On-Chip Interconnect Technologies , 2008, IPSJ Trans. Syst. LSI Des. Methodol..
[9] Sudeep Pasricha,et al. Process variation aware crosstalk mitigation for DWDM based photonic NoC architectures , 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).
[10] Wei Zhang,et al. Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[11] Zhe Wang,et al. Fat-Tree-Based Optical Interconnection Networks Under Crosstalk Noise Constraint , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Sudeep Pasricha,et al. PICO: Mitigating heterodyne crosstalk due to process variations and intermodulation effects in photonic NoCs , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[13] R.S. Tucker,et al. Homodyne crosstalk in WDM ring and bus networks , 1997, IEEE Photonics Technology Letters.
[14] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[15] Xiaowen Wu,et al. Coherent crosstalk noise analyses in ring-based optical interconnects , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[16] Sandro Bartolini,et al. Design Options for Optical Ring Interconnect in Future Client Devices , 2014, JETC.
[17] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[18] Sudeep Pasricha,et al. Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors , 2009, CODES+ISSS '09.
[19] E. Tangdiongga,et al. Experimental evaluation of optical crosstalk mitigation using phase scrambling , 2000, IEEE Photonics Technology Letters.
[20] Kwang-Ting Cheng,et al. Compact models for carrier-injection silicon microring modulators. , 2015, Optics express.
[21] Michal Lipson,et al. Scalable 3D dense integration of photonics on bulk silicon. , 2011, Optics express.
[22] K. Bergman,et al. Resolving the thermal challenges for silicon microring resonator devices , 2014 .
[23] P. Dumon,et al. Silicon microring resonators , 2012 .
[24] Keren Bergman,et al. Optimization of microring-based filters for dense WDM silicon photonic interconnects , 2015, 2015 IEEE Optical Interconnects Conference (OI).
[25] Xiaowen Wu,et al. A Case Study of Signal-to-Noise Ratio in Ring-Based Optical Networks-on-Chip , 2014, IEEE Design & Test.
[26] Wei Zhang,et al. Crosstalk noise and bit error rate analysis for optical network-on-chip , 2010, Design Automation Conference.
[27] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[28] K. Vahala. Optical microcavities , 2003, Nature.
[29] Chin-Tau Lea,et al. Crosstalk Analysis for Microring Based Optical Interconnection Networks , 2012, Journal of Lightwave Technology.
[30] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[31] Sudeep Pasricha,et al. OPAL: A multi-layer hybrid photonic NoC for 3D ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[32] Sudeep Pasricha,et al. A comparative analysis of front-end and back-end compatible silicon photonic on-chip interconnects , 2016, 2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).
[33] Venkatesh Akella,et al. Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[34] Chen Sun,et al. DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[35] R. Soref,et al. Electrooptical effects in silicon , 1987 .
[36] F. Xia,et al. Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects. , 2007, Optics express.