Worst Case Power/Ground Noise Estimation Using an Equivalent Transition Time for Resonance

The nonmonotonic behavior of power/ground noise with respect to the transition time tr is investigated for an inductive power distribution network with a decoupling capacitor. The worst case power/ground noise obtained with fast switching characteristics is shown to be significantly inaccurate. An equivalent transition time that corresponds to resonance is presented to accurately estimate the worst case power/ground noise in the time domain. Furthermore, the sensitivity of the ground noise to the decoupling capacitance Cd and parasitic inductance Lg is evaluated as a function of the transition time. Increasing the decoupling capacitance is shown to efficiently reduce the noise for transition times smaller than twice the LC time constant, tr les 2radic(LgCd). Alternatively, reducing the parasitic inductance Lg is shown to be effective for transition times greater than twice the LC time constant, tr ges 2radic(LgCd). The peak noise occurs when the transition time is approximately equal to twice the LC time constant, tr ap 2radic(LgCd) , referred to as the equivalent transition time for resonance.

[1]  M. Horowitz,et al.  Circuits and techniques for high-resolution measurement of on-chip power supply noise , 2004, IEEE Journal of Solid-State Circuits.

[2]  Kaustav Banerjee,et al.  Impact of on-chip inductance on power distribution network design for nanometer scale integrated circuits , 2005, Sixth international symposium on quality electronic design (isqed'05).

[3]  Hugo De Man,et al.  Evolution of substrate noise generation mechanisms with CMOS technology scaling , 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

[4]  H. Hashemi,et al.  The close attached capacitor: a solution to switching noise problems , 1992, 1992 Proceedings 42nd Electronic Components & Technology Conference.

[5]  Rajendran Panda,et al.  Model and analysis for combined package and on-chip power grid simulation , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[6]  J. S. Neely,et al.  Interconnect and circuit modeling techniques for full-chip power supply noise analysis , 1998 .

[7]  Ishwar Parulkar,et al.  Implementation of a Third-Generation 16-Core 32-Thread Chip-Multithreading SPARCs® Processor , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[8]  C. Paul Effectiveness of multiple decoupling capacitors , 1992 .

[9]  Jun Chen,et al.  Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Madhavan Swaminathan,et al.  On-Chip Power-Grid Simulation Using Latency Insertion Method , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[11]  Luca Benini,et al.  Analysis of glitch power dissipation in CMOS ICs , 1995, ISLPED '95.

[12]  A. Kolodny,et al.  Leveraging symbiotic on-die decoupling capacitance , 2005, IEEE 14th Topical Meeting on Electrical Performance of Electronic Packaging, 2005..

[13]  H. De Man,et al.  Digital circuit capacitance and switching analysis for ground bounce in ICs with a high-ohmic substrate , 2003, ESSCIRC 2004 - 29th European Solid-State Circuits Conference (IEEE Cat. No.03EX705).

[14]  Patrik Larsson,et al.  di/dt Noise in CMOS Integrated Circuits , 1997 .

[15]  R. Senthinathan,et al.  Negative feedback influence on simultaneous switching CMOS outputs , 1988, Proceedings of the IEEE 1988 Custom Integrated Circuits Conference.

[16]  Eby G. Friedman,et al.  Power Distribution Networks with On-Chip Decoupling Capacitors , 2007 .

[17]  Hugo De Man,et al.  Clock-skew-optimization methodology for substrate-noise reduction with supply-current folding , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Hiroshi Yamamoto,et al.  Decreased Effectiveness of On-Chip Decoupling Capacitance in High-Frequency Operation , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  P. Larsson Resonance and damping in CMOS circuits with on-chip decoupling capacitance , 1998 .

[20]  M. Saint-Laurent,et al.  Impact of power-supply noise on timing in high-frequency microprocessors , 2004, IEEE Transactions on Advanced Packaging.

[21]  Malgorzata Marek-Sadowska,et al.  Buffer delay change in the presence of power and ground noise , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[22]  Larry D. Smith,et al.  Power distribution system design methodology and capacitor selection for modern CMOS technology , 1999 .

[23]  Y. Yang Design Trade-Offs for the Last Stage of Unregulated, Long-Channel CMOS Off-Chip Driver with Simultaneous Swiching Noise and Switching Time Considerations , 1996 .

[24]  Hannu Tenhunen,et al.  Power-Supply and Substrate-Noise-Induced Timing Jitter in Nonoverlapping Clock Generation Circuits , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[25]  Malgorzata Marek-Sadowska,et al.  Timing-Aware Power-Noise Reduction in Placement , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[26]  L. D. Smith Decoupling capacitor calculations for CMOS circuits , 1994, Proceedings of 1994 IEEE Electrical Performance of Electronic Packaging.

[27]  Avinoam Kolodny,et al.  Effective Radii of On-Chip Decoupling Capacitors , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.