A Unified Clock and Switched-Capacitor-Based Power Delivery Architecture for Variation Tolerance in Low-Voltage SoC Domains

Correctly operating digital SoC domains at their target frequencies require the addition of supply voltage (<inline-formula> <tex-math notation="LaTeX">$V_{\mathrm{ dd}}$ </tex-math></inline-formula>) guardbands to account for supply droop events and temperature variation. These guardbands degrade processor energy efficiency, especially in low-voltage sensor and IoT applications due to increased delay sensitivity to temperature and <inline-formula> <tex-math notation="LaTeX">$V_{\mathrm{ dd}}$ </tex-math></inline-formula> variation. In this paper, we present an all-digital unified clock and power (UniCaP-SC) architecture that combines switched-capacitor (SC)-based voltage control and clock frequency regulation into a single loop to significantly reduce required <inline-formula> <tex-math notation="LaTeX">$V_{\mathrm{ dd}}$ </tex-math></inline-formula> guardbands. A UniCaP-SC test chip consisting of a near-threshold voltage (NTV) ARM Cortex-M0 processor was fabricated in 65-nm CMOS. The fully integrated system enables all-digital construction, aggressive <inline-formula> <tex-math notation="LaTeX">$V_{\mathrm{ dd}}$ </tex-math></inline-formula> margin reduction, and continuous <inline-formula> <tex-math notation="LaTeX">$V_{\mathrm{ dd}}$ </tex-math></inline-formula> scalability using SC-based voltage converters while no additional decoupling capacitance (decap). Test-chip measurements demonstrate a 16% <inline-formula> <tex-math notation="LaTeX">$V_{\mathrm{ dd}}$ </tex-math></inline-formula> reduction corresponding to a 94% <inline-formula> <tex-math notation="LaTeX">$V_{\mathrm{ dd}}$ </tex-math></inline-formula> margin recovery or an equivalent <inline-formula> <tex-math notation="LaTeX">$3.2\times $ </tex-math></inline-formula> increase in the operating clock frequency (<inline-formula> <tex-math notation="LaTeX">$f_{\mathrm{ clk}}$ </tex-math></inline-formula>).

[1]  Sung Kim,et al.  A combined all-digital PLL-buck slack regulation system with autonomous CCM/DCM transition control and 82% average voltage-margin reduction in a 0.6-to-1.0V cortex-M0 processor , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[2]  David Blaauw,et al.  A Low Ripple Switched-Capacitor Voltage Regulator Using Flying Capacitance Dithering , 2016, IEEE Journal of Solid-State Circuits.

[3]  Seok-Jun Lee,et al.  Microwatt Embedded Processor Platform for Medical System-on-Chip Applications , 2011, IEEE Journal of Solid-State Circuits.

[4]  Philip K. T. Mok,et al.  A Nanosecond-Transient Fine-Grained Digital LDO With Multi-Step Switching Scheme and Asynchronous Adaptive Pipeline Control , 2017, IEEE Journal of Solid-State Circuits.

[5]  Paolo A. Aseron,et al.  A 45 nm Resilient Microprocessor Core for Dynamic Variation Tolerance , 2011, IEEE Journal of Solid-State Circuits.

[6]  Michiel Steyaert,et al.  Scalable Parasitic Charge Redistribution: Design of High-Efficiency Fully Integrated Switched-Capacitor DC–DC Converters , 2016, IEEE Journal of Solid-State Circuits.

[7]  Keith A. Bowman,et al.  A 16 nm All-Digital Auto-Calibrating Adaptive Clock Distribution for Supply Voltage Droop Tolerance Across a Wide Operating Range , 2016, IEEE Journal of Solid-State Circuits.

[8]  Michael Douglas Seeman,et al.  A Design Methodology for Switched-Capacitor DC-DC Converters , 2009 .

[9]  Keith A. Bowman,et al.  An All-Digital Unified Clock Frequency and Switched-Capacitor Voltage Regulator for Variation Tolerance in a Sub-Threshold ARM Cortex M0 Processor , 2018, 2018 IEEE Symposium on VLSI Circuits.

[10]  Jaydeep Kulkarni,et al.  A 0.45–1 V Fully-Integrated Distributed Switched Capacitor DC-DC Converter With High Density MIM Capacitor in 22 nm Tri-Gate CMOS , 2014, IEEE Journal of Solid-State Circuits.

[11]  Jonathan White,et al.  Steamroller Module and Adaptive Clocking System in 28 nm CMOS , 2015, IEEE Journal of Solid-State Circuits.

[12]  Byunghoo Jung,et al.  Phase Frequency Detector With Minimal Blind Zone for Fast Frequency Acquisition , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[13]  Gu-Yeon Wei,et al.  Supply-noise resilient adaptive clocking for battery-powered aerial microrobotic System-on-Chip in 40nm CMOS , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

[14]  Chris H. Kim,et al.  Design, Modeling, and Test of a Programmable Adaptive Phase-Shifting PLL for Enhancing Clock Data Compensation , 2012, IEEE Journal of Solid-State Circuits.

[15]  Samuel Naffziger,et al.  5.6 Adaptive clocking system for improved power efficiency in a 28nm x86-64 microprocessor , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[16]  Yong Kim,et al.  The 12-Core POWER8™ Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking , 2015, IEEE Journal of Solid-State Circuits.

[17]  Phillip Restle,et al.  26.5 Adaptive clocking in the POWER9™ processor for voltage droop protection , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[18]  Seng-Pan U,et al.  An Analog-Assisted Tri-Loop Digital Low-Dropout Regulator , 2018, IEEE Journal of Solid-State Circuits.

[19]  Wing-Hung Ki,et al.  A Multiphase Switched-Capacitor DC–DC Converter Ring With Fast Transient Response and Small Ripple , 2017, IEEE Journal of Solid-State Circuits.

[20]  Wing-Hung Ki,et al.  An NMOS-LDO Regulated Switched-Capacitor DC–DC Converter With Fast-Response Adaptive-Phase Digital Control , 2016, IEEE Transactions on Power Electronics.

[21]  N. Kurd,et al.  Next Generation Intel¯ Core™ Micro-Architecture (Nehalem) Clocking , 2009, IEEE Journal of Solid-State Circuits.

[22]  Anand Savanth,et al.  A 12.4pJ/cycle sub-threshold, 16pJ/cycle near-threshold ARM Cortex-M0+ MCU with autonomous SRPG/DVFS and temperature tracking clocks , 2017, 2017 Symposium on VLSI Circuits.

[23]  Elad Alon,et al.  A RISC-V Vector Processor With Simultaneous-Switching Switched-Capacitor DC–DC Converters in 28 nm FDSOI , 2016, IEEE Journal of Solid-State Circuits.

[24]  Visvesh S. Sathe,et al.  Computational locking: Accelerating lock-times in all-digital PLLs , 2017, 2017 Symposium on VLSI Circuits.

[25]  David Bol,et al.  A 25MHz 7μW/MHz ultra-low-voltage microcontroller SoC in 65nm LP/GP CMOS for low-carbon wireless sensor nodes , 2012, 2012 IEEE International Solid-State Circuits Conference.

[26]  Ke-Horng Chen,et al.  A DVS embedded power management for high efficiency integrated SOC in UWB system , 2009 .

[27]  Anantha Chandrakasan,et al.  A Fully-Integrated Switched-Capacitor Step-Down DC-DC Converter With Digital Capacitance Modulation in 45 nm CMOS , 2010, IEEE Journal of Solid-State Circuits.

[28]  Jae-sun Seo,et al.  Analysis and optimization of CMOS switched-capacitor converters , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[29]  Dawei Huang,et al.  A 3.6 GHz 16-Core SPARC SoC Processor in 28 nm , 2014, IEEE Journal of Solid-State Circuits.

[30]  James Tschanz,et al.  A 32 nm Embedded, Fully-Digital, Phase-Locked Low Dropout Regulator for Fine Grained Power Management in Digital Circuits , 2014, IEEE Journal of Solid-State Circuits.

[31]  G. Patounakis,et al.  A fully integrated on-chip DC-DC conversion and power management system , 2004, IEEE Journal of Solid-State Circuits.