Variability in nanometer CMOS: Impact, analysis, and minimization
暂无分享,去创建一个
[1] D.B.M. Klaassen,et al. Using a model-driven architecture for technology-independent scenario configuration in networking testbeds , 2010, IEEE Communications Magazine.
[2] Linard Karklin,et al. Impact of subwavelength CD tolerance on device performance , 2002, SPIE Advanced Lithography.
[3] S. Roy,et al. The impact of random doping effects on CMOS SRAM cell , 2004, Proceedings of the 30th European Solid-State Circuits Conference.
[4] D. Schroder,et al. Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing , 2003 .
[5] Chandramouli V. Kashyap,et al. Block-based Static Timing Analysis with Uncertainty , 2003, ICCAD.
[6] S. Nassif,et al. Modeling the effects of manufacturing variation on high-speed microprocessor interconnect performance , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).
[7] Giovanni De Micheli. Designing reliable systems with unreliable components , 2005 .
[8] Dieter K. Schroder,et al. Negative bias temperature instability: What do we understand? , 2007, Microelectron. Reliab..
[9] Andrew B. Kahng,et al. Fast and efficient phase conflict detection and correction in standard-cell layouts , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[10] G. Ono,et al. A 1000-MIPS/W microprocessor using speed adaptive threshold-voltage CMOS with forward bias , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[11] D. Burnett,et al. Implications of fundamental threshold voltage variations for high-density SRAM and logic circuits , 1994, Proceedings of 1994 VLSI Technology Symposium.
[12] David Blaauw,et al. Timing error correction techniques for voltage-scalable on-chip memories , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[13] David Blaauw,et al. Parametric yield estimation considering leakage variability , 2004, Proceedings. 41st Design Automation Conference, 2004..
[14] T. Chen,et al. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[15] C. E. Clark. The Greatest of a Finite Set of Random Variables , 1961 .
[16] David Blaauw,et al. Tutorial 2: Leakage Issues in IC Design: Trends, Estimation, and Avoidance , 2003, ICCAD 2003.
[17] S. Schwartz,et al. On the distribution function and moments of power sums with log-normal components , 1982, The Bell System Technical Journal.
[18] Ying Liu,et al. Impact of interconnect variations on the clock skew of a gigahertz microprocessor , 2000, DAC.
[19] Sachin S. Sapatnekar,et al. Statistical timing analysis considering spatial correlations using a single PERT-like traversal , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[20] Puneet Gupta,et al. Lithography simulation-based full-chip design analyses , 2006, SPIE Advanced Lithography.
[21] Hua Wang,et al. Variable tapered pareto buffer design and implementation allowing run-time configuration for low-power embedded SRAMs , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[22] Valery Axelrad,et al. Physical and timing verification of subwavelength-scale designs: I. Lithography impact on MOSFETs , 2003, SPIE Advanced Lithography.
[23] Chandramouli V. Kashyap,et al. A two moment RC delay metric for performance optimization , 2000, ISPD '00.
[24] C. Sandstrom,et al. CMOS Vt-control improvement through implant lateral scatter elimination , 2005, ISSM 2005, IEEE International Symposium on Semiconductor Manufacturing, 2005..
[25] R. Heald,et al. Variability in sub-100nm SRAM designs , 2004, ICCAD 2004.
[26] Fook-Luen Heng,et al. Toward through-process layout quality metrics , 2005, SPIE Advanced Lithography.
[27] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[28] Todd M. Austin,et al. Ultra low-cost defect protection for microprocessor pipelines , 2006, ASPLOS XII.
[29] David Z. Pan,et al. RADAR: RET-aware detailed routing using fast lithography simulations , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[30] A. Toriumi,et al. Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's , 1994 .
[31] Masayuki Miyazaki,et al. A delay distribution squeezing scheme with speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) for low voltage LSIs , 1998, ISLPED '98.
[32] A. Abu-Dayya,et al. Outage probabilities in the presence of correlated lognormal interferers , 1994 .
[33] T. Kuroda,et al. A 0.9V 150MHz 10mW 4mm^2 2-D Discrete Cosine Transform Core Processor with Variable Threshold Logic , 1996 .
[34] K. R. Lakshmikumar,et al. Characterisation and modeling of mismatch in MOS transistors for precision analog design , 1986 .
[35] Chin-Shan Hou,et al. Back-gate bias enhanced band-to-band tunneling leakage in scaled MOSFET's , 1998 .
[36] David Blaauw,et al. Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[37] Dennis Sylvester,et al. Modeling of non-uniform device geometries for post-lithography circuit analysis , 2006, SPIE Advanced Lithography.
[38] Ping Wang,et al. Variability in sub-100nm SRAM designs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[39] Lawrence T. Pileggi,et al. RICE: rapid interconnect circuit evaluator , 1991, 28th ACM/IEEE Design Automation Conference.
[40] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[41] F. Brglez,et al. A neutral netlist of 10 combinational benchmark circuits and a target translator in FORTRAN , 1985 .
[42] David Blaauw,et al. ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon , 2006, IEEE Design & Test of Computers.
[43] Manoj Sachdev,et al. Efficient adaptive voltage scaling system through on-chip critical path emulation , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[44] Vivek De,et al. Forward body bias for microprocessors in 130nm technology generation and beyond , 2002, VLSIC 2002.
[45] Kevin J. Nowka,et al. Adaptive MTCMOS for dynamic leakage and frequency control using variable footer strength , 2005, Proceedings 2005 IEEE International SOC Conference.
[46] Dimitri Antoniadis,et al. Impact of using adaptive body bias to compensate die-to-die Vt variation on within-die Vt variation , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[47] Kevin J. Nowka,et al. Parametric yield analysis and constrained-based supply voltage optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).
[48] J. M. Rabaey. Design at the end of the silicon roadmap [Keynote Address III] , 2005 .
[49] L. A. Akers,et al. Inverse-narrow-width effects and small-geometry MOSFET threshold voltage model , 1988 .
[50] Anna W. Topol,et al. Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[51] Puneet Gupta,et al. Selective gate-length biasing for cost-effective runtime leakage control , 2004, Proceedings. 41st Design Automation Conference, 2004..
[52] K. Ishibashi,et al. A delay distribution squeezing scheme with speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) for low voltage LSls , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[53] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[54] Dennis Sylvester,et al. Advanced timing analysis based on post-OPC extraction of critical dimensions , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[55] Puneet Gupta,et al. Standard cell library optimization for leakage reduction , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[56] Trevor Mudge,et al. A self-tuning DVS processor using delay-error detection and correction , 2005, VLSIC 2005.
[57] Jan M. Rabaey. Design at the end of the silicon roadmap , 2005, ASP-DAC.
[58] David Blaauw,et al. Statistical interconnect metrics for physical-design optimization , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[59] T. Fujita,et al. A 0.9 V 150 MHz 10 mW 4 mm/sup 2/ 2-D discrete cosine transform core processor with variable-threshold-voltage scheme , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[60] M.J.M. Pelgrom,et al. Matching properties of MOS transistors , 1989 .
[61] T. Ghani,et al. Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).
[62] Natesan Venkateswaran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..