3-D Mesh-Based Optical Network-on-Chip for Multiprocessor System-on-Chip

Optical networks-on-chip (ONoCs) are emerging communication architectures that can potentially offer ultrahigh communication bandwidth and low latency to multiprocessor systems-on-chip (MPSoCs). In addition to ONoC architectures, 3-D integrated technologies offer an opportunity to continue performance improvements with higher integration densities. In this paper, we present a 3-D mesh-based ONoC for MPSoCs, and new low-cost nonblocking 4 × 4, 5 × 5, 6 × 6, and 7 × 7 optical routers for dimension-order routing in the 3-D mesh-based ONoC. Besides, we propose an optimized floorplan for the 3-D mesh-based ONoC. The floorplan follows the regular 3-D mesh topology but implements all optical routers in a single optical layer. The floorplan is optimized to minimize the number of extra waveguide crossings caused when merging the 3-D ONoC to one optical layer. Based on a set of real applications and uniform traffic pattern, we develop a SystemC-based cycle-accurate NoC simulator and compare the 3-D mesh-based ONoC with the matched 2-D mesh-based ONoC and 2-D electronic NoC for performance and energy efficiency. Additionally, we quantitatively analyze thermal effects on the 3-D 8 × 8 × 2 mesh-based ONoC.

[1]  A Syrbu,et al.  10 Gbps VCSELs with High Single Mode Output in 1310nm and 1550 nm Wavelength Bands , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[2]  Ray T. Chen,et al.  O-Router: An optical routing framework for low power on-chip silicon nano-photonic integration , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[3]  Ian O'Connor,et al.  System level assessment of an optical NoC in an MPSoC platform , 2007 .

[4]  Yuan Xie,et al.  3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC) , 2009, 2009 IEEE International Conference on 3D System Integration.

[5]  F. Ellinger,et al.  A 100-mW 4/spl times/10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects , 2005, IEEE Journal of Solid-State Circuits.

[6]  Xiang Zhang,et al.  On-Chip photonic interconnects for scalable multi-core architectures , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[7]  J. Lott,et al.  850 nm VCSELs for up to 40 Gbit/s short reach data links , 2010, CLEO/QELS: 2010 Laser Science to Photonic Applications.

[8]  Winnie N. Ye,et al.  Athermal Silicon Ring Resonators , 2010 .

[9]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[10]  Ian O'Connor,et al.  Optical solutions for system-level interconnect , 2004, SLIP '04.

[11]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[12]  Chita R. Das,et al.  Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[13]  Partha Pratim Pande,et al.  Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation , 2009, IEEE Transactions on Computers.

[14]  L. Chiaraviglio,et al.  Optical technologies can improve the energy efficiency of networks , 2009, 2009 35th European Conference on Optical Communication.

[15]  Jung Ho Ahn,et al.  A nanophotonic interconnect for high-performance many-core computation , 2008 .

[16]  G. Masini,et al.  A Four-Channel, 10 Gbps Monolithic Optical Receiver In 130nm CMOS With Integrated Ge Waveguide Photodetectors , 2007, OFC/NFOEC 2007 - 2007 Conference on Optical Fiber Communication and the National Fiber Optic Engineers Conference.

[17]  Lin Yang,et al.  Five-port optical router for photonic networks-on-chip. , 2011, Optics express.

[18]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[19]  T. Anan,et al.  High-speed 1.1-μm-range InGaAs VCSELs , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[20]  Wei Zhang,et al.  A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[21]  Vaidehee Padgaonkar,et al.  Thermal Effects in Silicon Based Resonant Cavity Devices , 2004 .

[22]  S. Xiao,et al.  Multiple-channel silicon micro-resonator based filters for WDM applications. , 2007, Optics express.

[23]  Ieee Circuits,et al.  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Luca Benini,et al.  Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[25]  F. Gan,et al.  Maximizing the Thermo-Optic Tuning Range of Silicon Photonic Structures , 2007, 2007 Photonics in Switching.

[26]  Wei Zhang,et al.  Crosstalk noise and bit error rate analysis for optical network-on-chip , 2010, Design Automation Conference.

[27]  Wei Zhang,et al.  A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[28]  Luca P. Carloni,et al.  On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[29]  M. Horowitz,et al.  A 14-mW 6.25-Gb/s Transceiver in 90-nm CMOS , 2007, IEEE Journal of Solid-State Circuits.

[30]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[31]  Fang Xu,et al.  Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip , 2008, SPIE OPTO.

[32]  S. Mogg,et al.  Temperature sensitivity of the threshold current of long-wavelength InGaAs-GaAs VCSELs with large gain-cavity detuning , 2004, IEEE Journal of Quantum Electronics.

[33]  Jianhao Hu,et al.  De Bruijn graph based 3D Network on Chip architecture design , 2009, 2009 International Conference on Communications, Circuits and Systems.

[34]  Wei Zhang,et al.  A Hierarchical Hybrid Optical-Electronic Network-on-Chip , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[35]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[36]  Hui Chen,et al.  Cascaded Microresonator-Based Matrix Switch for Silicon On-Chip Optical Interconnection , 2009, Proceedings of the IEEE.

[37]  Huaxi Gu,et al.  Design of 3D Optical Network on Chip , 2009, 2009 Symposium on Photonics and Optoelectronics.

[38]  Xue Liu,et al.  Efficient SAT-Based Mapping and Scheduling of Homogeneous Synchronous Dataflow Graphs for Throughput Optimization , 2008, 2008 Real-Time Systems Symposium.

[39]  Alyssa B. Apsel,et al.  On-Chip Optical Technology in Future Bus-Based Multicore Designs , 2007, IEEE Micro.

[40]  Nikil D. Dutt,et al.  ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip , 2008, 2008 Asia and South Pacific Design Automation Conference.

[41]  Wei Zhang,et al.  A NoC Traffic Suite Based on Real Applications , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[42]  Wei Zhang,et al.  System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.