Hierarchical congregated ant system for bottom-up VLSI placements
暂无分享,去创建一个
Harikrishnan Ramiah | Irfan Anjum Badruddin | Velappa Ganapathy | Chyi-Shiang Hoo | Kanesan Jeevan | Hock-Chai Yeo | K. Jeevan | I. Badruddin | V. Ganapathy | H. Ramiah | Chyi-Shiang Hoo | Hock-Chai Yeo
[1] Igor L. Markov,et al. Are floorplan representations important in digital design? , 2005, ISPD '05.
[2] Andrew B. Kahng,et al. Hierarchical whitespace allocation in top-down placement , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[3] Martin D. F. Wong,et al. Floorplanning for 3-D VLSI design , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[4] I HameemShanavas.,et al. Evolutionary Algorithmical Approach for VLSI Floorplanning Problem , 2009 .
[5] Wayne Wei-Ming Dai,et al. General floorplanning with L-shaped, T-shaped and soft blocks based on bounded slicing grid structure , 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.
[6] H. Shanavas. Evolutionary Algorithmical Approach for VLSI Floorplanning Problem , 2022 .
[7] Yao-Wen Chang,et al. TCG-S: orthogonal coupling of P/sup */-admissible representations for general floorplans , 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[8] B. K. Panigrahi,et al. ENGINEERING APPLICATIONS OF ARTIFICIAL INTELLIGENCE , 2010 .
[9] H. Murata,et al. Rectangle-packing-based module placement , 1995, ICCAD 1995.
[10] Chaomin Luo,et al. An efficient Full-and-Elimination approach for floorplan area minimization , 2009, 2009 International Conference on Microelectronics - ICM.
[11] Alice E. Smith,et al. An ant colony optimization algorithm for the redundancy allocation problem (RAP) , 2004, IEEE Transactions on Reliability.
[12] Yoji Kajitani,et al. Module placement on BSG-structure and IC layout applications , 1996, Proceedings of International Conference on Computer Aided Design.
[13] Evangeline F. Y. Young,et al. Twin binary sequences: a non-redundant representation for general non-slicing floorplan , 2002, ISPD '02.
[14] Luca Maria Gambardella,et al. Solving symmetric and asymmetric TSPs by ant colonies , 1996, Proceedings of IEEE International Conference on Evolutionary Computation.
[15] Marco Dorigo,et al. Optimization, Learning and Natural Algorithms , 1992 .
[16] Serhiy D. Shtovba. Ant Algorithms: Theory and Applications , 2005, Programming and Computer Software.
[17] Yici Cai,et al. Corner block list: an effective and efficient topological representation of non-slicing floorplan , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[18] Igor L. Markov,et al. Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[19] Chris C. N. Chu,et al. Bounds on the number of slicing, mosaic, and general floorplans , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[20] Ralph H. J. M. Otten,et al. Automatic Floorplan Design , 1982, 19th Design Automation Conference.
[21] Takeshi Yoshimura,et al. An enhanced perturbing algorithm for floorplan design using the O-tree representation , 2000, ISPD '00.
[22] Martin D. F. Wong,et al. A New Algorithm for Floorplan Design , 1986, 23rd ACM/IEEE Design Automation Conference.
[23] Guolong Chen,et al. A PSO-based intelligent decision algorithm for VLSI floorplanning , 2010, Soft Comput..
[24] Marco Dorigo,et al. Ant system: optimization by a colony of cooperating agents , 1996, IEEE Trans. Syst. Man Cybern. Part B.
[25] Ting-Chi Wang,et al. Optimal floorplan area optimization , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[26] Srinivas Katkoori,et al. An Elitist Non-Dominated Sorting Based Genetic Algorithm for Simultaneous Area and Wirelength Minimization in VLSI Floorplanning , 2008, 21st International Conference on VLSI Design (VLSID 2008).
[27] Evangeline F. Y. Young,et al. Handling soft modules in general nonslicing floorplan usingLagrangian relaxation , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[28] Marco Dorigo,et al. Distributed Optimization by Ant Colonies , 1992 .
[29] Wayne Wei-Ming Dai,et al. Hierarchical placement and floorplanning in BEAR , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[30] Ning Xu,et al. A Fast Algorithm for VLSI Building Block Placement , 2006, The Proceedings of the Multiconference on "Computational Engineering in Systems Applications".
[31] Weiping Shi,et al. Area minimization for hierarchical floorplans , 1994, IEEE/ACM International Conference on Computer-Aided Design.
[32] Takeshi Yoshimura,et al. Floorplanning using a tree representation , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[33] Yao-Wen Chang,et al. A New Multilevel Framework for Large-Scale Interconnect-Driven Floorplanning , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[34] Jianli Chen,et al. A Hybrid Evolution Algorithm for VLSI Floorplanning , 2010, 2010 International Conference on Computational Intelligence and Software Engineering.
[35] Chuan-Wen Chiang. ANT COLONY OPTIMIZATION FOR VLSI FLOORPLANNING WITH CLUSTERING CONSTRAINTS , 2009 .
[36] Jing Liu,et al. Multiagent evolutionary algorithm for floorplanning using moving block sequence , 2007, 2007 IEEE Congress on Evolutionary Computation.
[37] Sung-Woo Hur,et al. A fast algorithm for context-aware buffer insertion , 2002, TODE.
[38] Chris C. N. Chu,et al. DeFer: Deferred Decision Making Enabled Fixed-Outline Floorplanning Algorithm , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[39] Takeshi Yoshimura,et al. An O-tree representation of non-slicing floorplan and its applications , 1999, DAC '99.
[40] Yoji Kajitani,et al. VLSI module placement based on rectangle-packing by the sequence-pair , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[41] Andrew B. Kahng,et al. Optimal partitioners and end-case placers for standard-cell layout , 1999, ISPD '99.
[42] Ernest S. Kuh,et al. Simultaneous Floor Planning and Global Routing for Hierarchical Building-Block Layout , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[43] De-Sheng Chen,et al. Fixed-outline floorplanning using robust evolutionary search , 2007, Eng. Appl. Artif. Intell..
[44] Yao-Wen Chang,et al. B*-Trees: a new representation for non-slicing floorplans , 2000, DAC.
[45] Jarrod A. Roy,et al. Min-cut floorplacement , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[46] Maurizio Rebaudengo,et al. GALLO: a genetic algorithm for floorplan area optimization , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[47] Vittorio Maniezzo,et al. The Ant System Applied to the Quadratic Assignment Problem , 1999, IEEE Trans. Knowl. Data Eng..
[48] Yici Cai,et al. MMP: a novel placement algorithm for combined macro block and standard cell layout design , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
[49] C. L. Liu,et al. Area minimization for floorplans , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[50] Larry J. Stockmeyer,et al. Optimal Orientations of Cells in Slicing Floorplan Designs , 1984, Inf. Control..
[51] Jing Liu,et al. Moving Block Sequence and Organizational Evolutionary Algorithm for General Floorplanning With Arbitrarily Shaped Rectilinear Blocks , 2008, IEEE Transactions on Evolutionary Computation.
[52] Majid Sarrafzadeh,et al. Fast floorplanning for effective prediction and construction , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[53] Yao-Wen Chang,et al. Corner sequence - a P-admissible floorplan representation with a worst case linear-time packing scheme , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[54] Yao-Wen Chang,et al. Multilevel floorplanning/placement for large-scale modules using B*-trees , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[55] Hai Zhou,et al. ACG-adjacent constraint graph for general floorplans , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[56] Yici Cai,et al. Corner block list representation and its application to floorplan optimization , 2004, IEEE Transactions on Circuits and Systems II: Express Briefs.
[57] Thomas Stützle,et al. MAX-MIN Ant System , 2000, Future Gener. Comput. Syst..
[58] Takeshi Yoshimura,et al. Fixed-Outline Floorplanning: Block-Position Enumeration and a New Method for Calculating Area Costs , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[59] Luca Maria Gambardella,et al. Ant colony system: a cooperative learning approach to the traveling salesman problem , 1997, IEEE Trans. Evol. Comput..
[60] Jason Cong,et al. Global clustering-based performance-driven circuit partitioning , 2002, ISPD '02.
[61] Yoji Kajitani,et al. An enhanced Q-sequence augmented with empty-room-insertion and parenthesis trees , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[62] M. Montaz Ali,et al. A Hybrid Simulated Annealing Algorithm for Nonslicing VLSI Floorplanning , 2011, IEEE Transactions on Systems, Man, and Cybernetics, Part C (Applications and Reviews).
[63] Martin D. F. Wong,et al. FAST-SP: a fast algorithm for block placement based on sequence pair , 2001, ASP-DAC '01.
[64] Yici Cai,et al. Floorplanning with abutment constraints and L-shpaed/T-shaped blocks baed on corner block list , 2001, DAC '01.
[65] Martin D. F. Wong,et al. Slicing tree is a complete floorplan representation , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.
[66] Yao-Wen Chang,et al. Multilevel floorplanning/placement for large-scale modules using B*-trees , 2003, DAC '03.
[67] C. D. Gelatt,et al. Optimization by Simulated Annealing , 1983, Science.
[68] Yici Cai,et al. Floorplanning with abutment constraints and L-shaped/T-shaped blocks based on corner block list , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[69] Rong Luo,et al. A Novel Ant Colony Optimization Based Temperature-Aware Floorplanning Algorithm , 2007, Third International Conference on Natural Computation (ICNC 2007).
[70] Yao-Wen Chang,et al. TCG: a transitive closure graph-based representation for non-slicing floorplans , 2001, DAC '01.
[71] Henry Selvaraj,et al. Performance driven circuit clustering and partitioning , 2002, Proceedings. International Conference on Information Technology: Coding and Computing.
[72] J. Cong,et al. Fast floorplanning by look-ahead enabled recursive bipartitioning , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[73] Srinivas Katkoori,et al. Ant colony optimization technique for macrocell overlap removal , 2004, 17th International Conference on VLSI Design. Proceedings..
[74] Srinivas Katkoori,et al. Ant colony system application to macrocell overlap removal , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[75] Igor L. Markov,et al. Practical slicing and non-slicing block-packing without simulated annealing , 2004, GLSVLSI '04.
[76] Tsung-Ying Sun,et al. Placement Constraints and Macrocell Overlap Removal Using Particle Swarm Optimization , 2006, ANTS Workshop.