Memory Disambiguation Hardware: a Review
暂无分享,去创建一个
Luis Piñuel | Manuel Prieto | Daniel Chaver | Fernando Castro | Francisco Tirado Fernández | M. Prieto | L. Piñuel | Fernando Castro | D. Chaver | Francisco Tirado Fernández
[1] Sam S. Stone,et al. Address-indexed memory disambiguation and store-to-load forwarding , 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).
[2] Richard E. Kessler,et al. The Alpha 21264 microprocessor , 1999, IEEE Micro.
[3] Amir Roth,et al. A High-Bandwidth Load-Store Unit for Single- and Multi-Threaded Processors , 2004 .
[4] Burton H. Bloom,et al. Space/time trade-offs in hash coding with allowable errors , 1970, CACM.
[5] Milo M. K. Martin,et al. Scalable store-load forwarding via store queue index prediction , 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).
[6] Amir Roth,et al. Store vulnerability window (SVW): re-execution filtering for enhanced load optimization , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[7] Michael C. Huang,et al. Replacing Associative Load Queues: A Timing-Centric Approach , 2009, IEEE Transactions on Computers.
[8] Michael C. Huang,et al. Substituting Associative Load Queue with Simple Hash Tables in Out-of-Order Microprocessors , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[9] Gabriel H. Loh,et al. Store vectors for scalable memory dependence prediction and scheduling , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
[10] Mikko H. Lipasti,et al. Memory ordering: a value-based approach , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[11] Simha Sethumadhavan,et al. Scalable Hardware Memory Disambiguation for High-ILP Processors , 2004, IEEE Micro.
[12] Balaram Sinharoy,et al. POWER4 system microarchitecture , 2002, IBM J. Res. Dev..
[13] T. N. Vijaykumar,et al. Reducing design complexity of the load/store queue , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[14] Víctor Viñals,et al. Store buffer design in first-level multibanked data caches , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[15] Simha Sethumadhavan,et al. Late-binding: enabling unordered load-store queues , 2007, ISCA '07.
[16] Steve Carr,et al. Feedback-directed memory disambiguation through store distance analysis , 2006, ICS '06.
[17] Michael C. Huang,et al. DMDC: Delayed Memory Dependence Checking through Age-Based Filtering , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[18] Haitham Akkary,et al. Checkpoint processing and recovery: towards scalable large instruction window processors , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[19] Michael C. Huang,et al. Load-store queue management: an energy-efficient design based on a state-filtering mechanism , 2005, 2005 International Conference on Computer Design.
[20] Craig B. Zilles,et al. Decomposing the load-store queue by function for power reduction and scalability , 2006, IBM J. Res. Dev..
[21] S. Tomita,et al. A high-speed dynamic instruction scheduling scheme for supersealar processors , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[22] Gabriel H. Loh,et al. Fire-and-Forget: Load/Store Scheduling with No Store Queue at All , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[23] Andreas Moshovos,et al. Dynamic Speculation and Synchronization of Data Dependences , 1997, ISCA.