A High-Precision On-Chip Path Delay Measurement Architecture
暂无分享,去创建一个
[1] David Blaauw,et al. Statistical timing analysis using bounds and selective enumeration , 2003, TAU '02.
[2] Mark Mohammad Tehranipoor,et al. Path-RO: A novel on-chip critical path delay measurement under process variations , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[3] Cecilia Metra,et al. Sensing circuit for on-line detection of delay faults , 1996, IEEE Trans. Very Large Scale Integr. Syst..
[4] Jacob A. Abraham,et al. Small-Delay Defect Detection in the Presence of Process Variations , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[5] Huawei Li,et al. Testable Critical Path Selection Considering Process Variation , 2010, IEICE Trans. Inf. Syst..
[6] Masayuki Mizuno,et al. A 1-to-2GHz 4-Phase On-Chip Clock Generator with Timing-Margin Test Capability , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[7] Yu Hu,et al. Robust test generation for power supply noise induced path delay faults , 2008, 2008 Asia and South Pacific Design Automation Conference.
[8] Jing-Reng Huang,et al. A built-in timing parametric measurement unit , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[9] Xiaowei Li,et al. A unified online Fault Detection scheme via checking of Stability Violation , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[10] S. Reddy,et al. Output Hazard-Free Transition Delay Fault Test Generation , 1998, 2009 27th IEEE VLSI Test Symposium.
[11] Jacob A. Abraham,et al. On-chip delay measurement for silicon debug , 2004, GLSVLSI '04.
[12] Huawei Li,et al. Multiple Coupling Effects Oriented Path Delay Test Generation , 2008, 26th IEEE VLSI Test Symposium (vts 2008).
[13] Stephen K. Sunter. BIST vs. ATE: need a different vehicle? , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).
[14] S. Nassif,et al. Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[15] Kaushik Roy,et al. A Novel Delay Fault Testing Methodology Using Low-Overhead Built-In Delay Sensor , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[16] Howard R. Huff,et al. MOSFET AND FRONT-END PROCESS INTEGRATION: SCALING TRENDS, CHALLENGES, AND POTENTIAL SOLUTIONS THROUGH THE END OF THE ROADMAP , 2002 .
[17] N. Ahmed,et al. A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[18] Phil Nigh,et al. Test method evaluation experiments and data , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[19] John J. Shedletsky,et al. An Experimental Delay Test Generator for LSI Logic , 1980, IEEE Transactions on Computers.
[20] Ming Zhang,et al. Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[21] Mark Mohammad Tehranipoor,et al. Test-Pattern Grading and Pattern Selection for Small-Delay Defects , 2008, 26th IEEE VLSI Test Symposium (vts 2008).
[22] Kenneth M. Butler,et al. Facilitating rapid first silicon debug , 2002, Proceedings. International Test Conference.
[23] Ming-Chien Tsai,et al. An All-Digital High-Precision Built-In Delay Time Measurement Circuit , 2008, 26th IEEE VLSI Test Symposium (vts 2008).
[24] Songwei Pei,et al. A Low Overhead On-Chip Path Delay Measurement Circuit , 2009, 2009 Asian Test Symposium.
[25] Huawei Li,et al. Fast path selection for testing of small delay defects considering path correlations , 2010, 2010 28th VLSI Test Symposium (VTS).
[26] Jacob A. Abraham,et al. Delay fault testing and silicon debug using scan chains , 2004, Proceedings. Ninth IEEE European Test Symposium, 2004. ETS 2004..
[27] Kevin J. Nowka,et al. A scheme for on-chip timing characterization , 2006, 24th IEEE VLSI Test Symposium.
[28] Ali Keshavarzi,et al. View from the bottom: nanometer technology AC parametric failures - why, where, and how to detect , 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.
[29] Kwang-Ting Cheng,et al. Delay testing considering crosstalk-induced effects , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[30] Keith Baker,et al. Defect-based delay testing of resistive vias-contacts a critical evaluation , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).
[31] Kaushik Roy,et al. A novel on-chip delay measurement hardware for efficient speed-binning , 2005, 11th IEEE International On-Line Testing Symposium.
[32] Jacob A. Abraham,et al. On-chip Programmable Capture for Accurate Path Delay Test and Characterization , 2008, 2008 IEEE International Test Conference.
[33] Guido Gronthoud,et al. On hazard-free patterns for fine-delay fault testing , 2004, 2004 International Conferce on Test.
[34] David Blaauw,et al. Statistical Timing Analysis: From Basic Principles to State of the Art , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[35] David Blaauw,et al. Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.
[36] Kwang-Ting Cheng,et al. New challenges in delay testing of nanometer, multigigahertz designs , 2004, IEEE Design & Test of Computers.