Test Strategies for Reliable Runtime Reconfigurable Architectures

Field-programmable gate array (FPGA)-based reconfigurable systems allow the online adaptation to dynamically changing runtime requirements. The reliability of FPGAs, being manufactured in latest technologies, is threatened by soft errors, as well as aging effects and latent defects. To ensure reliable reconfiguration, it is mandatory to guarantee the correct operation of the reconfigurable fabric. This can be achieved by periodic or on-demand online testing. This paper presents a reliable system architecture for runtime-reconfigurable systems, which integrates two nonconcurrent online test strategies: preconfiguration online tests (PRET) and postconfiguration online tests (PORT). The PRET checks that the reconfigurable hardware is free of faults by periodic or on-demand tests. The PORT has two objectives: It tests reconfigured hardware units after reconfiguration to check that the configuration process completed correctly and it validates the expected functionality. During operation, PORT is used to periodically check the reconfigured hardware units for malfunctions in the programmable logic. Altogether, this paper presents PRET, PORT, and the system integration of such test schemes into a runtime-reconfigurable system, including the resource management and test scheduling. Experimental results show that the integration of online testing in reconfigurable systems incurs only minimum impact on performance while delivering high fault coverage and low test latency.

[1]  Xiaoqing Wen,et al.  VLSI Test Principles and Architectures: Design for Testability (Systems on Silicon) , 2006 .

[2]  André DeHon,et al.  Variation and Aging Tolerance in FPGAs , 2011, Low-Power Variation-Tolerant Design in Nanometer Silicon.

[3]  Mehdi Baradaran Tahoori Application-Dependent Testing of FPGAs , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Charles E. Stroud,et al.  Online BIST and BIST-based diagnosis of FPGA logic blocks , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  William H. Kautz Testing for Faults in Combinational Cellular Logic Arrays , 1967, SWAT.

[6]  Muhammad Shafique,et al.  Concepts, architectures, and run-time systems for efficient and adaptive reconfigurable processors , 2011, 2011 NASA/ESA Conference on Adaptive Hardware and Systems (AHS).

[7]  Ad J. van de Goor,et al.  Using March Tests to Test SRAMs , 1993, IEEE Des. Test Comput..

[8]  Mehdi Baradaran Tahoori Using satisfiability in application-dependent testing of FPGA interconnects , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[9]  Swarup Bhunia,et al.  Guest Editors' Introduction: Managing Uncertainty through Postfabrication Calibration and Repair , 2010, IEEE Des. Test Comput..

[10]  Hiroaki Inoue,et al.  VAST: Virtualization-Assisted Concurrent Autonomous Self-Test , 2008, 2008 IEEE International Test Conference.

[11]  Jörg Henkel,et al.  OTERA: Online test strategies for reliable reconfigurable architectures — Invited paper for the AHS-2012 special session “Dependability by reconfigurable hardware” , 2012, 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS).

[12]  Charles E. Stroud,et al.  Embedded Processor Based Built-In Self-Test and Diagnosis of Logic and Memory Resources in FPGAs , 2006, ESA.

[13]  Michael J. Schulte,et al.  An Overview of Reconfigurable Hardware in Embedded Systems , 2006, EURASIP J. Embed. Syst..

[14]  Marco Platzner,et al.  ReconOS: Multithreaded programming for reconfigurable computers , 2009, TECS.

[15]  Jörg Henkel,et al.  Transparent structural online test for reconfigurable systems , 2012, 2012 IEEE 18th International On-Line Testing Symposium (IOLTS).

[16]  Tulika Mitra,et al.  Runtime Adaptive Extensible Embedded Processors - A Survey , 2009, SAMOS.

[17]  Onur Mutlu,et al.  Operating system scheduling for efficient online self-test in robust systems , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[18]  P. Sundararajan,et al.  Testing FPGA Devices Using JBits , 2001 .

[19]  Peter Y. K. Cheung,et al.  Fault tolerant methods for reliability in FPGAs , 2008, 2008 International Conference on Field Programmable Logic and Applications.

[20]  Yervant Zorian,et al.  Testing the Interconnect of RAM-Based FPGAs , 1998, IEEE Des. Test Comput..

[21]  Charles E. Stroud,et al.  Built-in self-test of FPGA interconnect , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[22]  Edward J. McCluskey,et al.  Functional tests for scan chain latches , 1995, Proceedings of 1995 IEEE International Test Conference (ITC).

[23]  J. W. McPherson,et al.  Reliability challenges for 45nm and beyond , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[24]  N. R. Alamelu,et al.  SELF CHECKING AND FAULT TOLERANT DIGITAL DESIGN , 2009 .

[25]  Andrzej Krasniewski Application-dependent testing of FPGA delay faults , 1999, Proceedings 25th EUROMICRO Conference. Informatics: Theory and Practice for the New Millennium.

[26]  Fabrizio Lombardi,et al.  A Single-Configuration Method for Application-Dependent Testing of SRAM-based FPGA Interconnects , 2011, 2011 Asian Test Symposium.

[27]  Charles E. Stroud,et al.  Online Fault Tolerance for FPGA Logic Blocks , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[28]  Frank Vahid,et al.  Warp Processors , 2006, ACM Trans. Design Autom. Electr. Syst..

[29]  Janusz Rajski,et al.  Arithmetic built-in self-test for DSP cores , 1999 .

[30]  Charles E. Stroud,et al.  Dynamic fault tolerance in FPGAs via partial reconfiguration , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[31]  Subhasish Mitra,et al.  CASP: Concurrent Autonomous Chip Self-Test Using Stored Test Patterns , 2008, 2008 Design, Automation and Test in Europe.

[32]  Stamatis Vassiliadis,et al.  Fine- and Coarse-Grain Reconfigurable Computing , 2007 .

[33]  Ming Zhang,et al.  Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[34]  Muhammad Shafique,et al.  Selective instruction set muting for energy-aware adaptive processors , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[35]  Erik Chmelar,et al.  FPGA Interconnect Delay Fault Testing , 2003, ITC.

[36]  Carl E. Landwehr,et al.  Basic concepts and taxonomy of dependable and secure computing , 2004, IEEE Transactions on Dependable and Secure Computing.

[37]  E. Chmelaf Fpga interconnect delay fault testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[38]  Stamatis Vassiliadis,et al.  The MOLEN polymorphic processor , 2004, IEEE Transactions on Computers.

[39]  Matteo Sonza Reorda,et al.  Microprocessor Software-Based Self-Testing , 2010, IEEE Design & Test of Computers.

[40]  M. Renovell SRAM-based FPGAs: a structural test approach , 1998, Proceedings. XI Brazilian Symposium on Integrated Circuit Design (Cat. No.98EX216).

[41]  M. Caffrey,et al.  Correcting single-event upsets through virtex partial configuration , 2000 .

[42]  Fabrizio Lombardi,et al.  Testing configurable LUT-based FPGA's , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[43]  Muhammad Shafique,et al.  Optimizing the H.264/AVC Video Encoder Application Structure for Reconfigurable and Application-Specific Platforms , 2010, J. Signal Process. Syst..

[44]  Ricardo P. Jasinski,et al.  Fault-Tolerance Techniques for SRAM-Based FPGAs , 2007, Comput. J..

[45]  Edward J. McCluskey,et al.  Reconfigurable architecture for autonomous self-repair , 2004, IEEE Design & Test of Computers.

[46]  Charles E. Stroud,et al.  Using roving STARs for on-line testing and diagnosis of FPGAs in fault-tolerant applications , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[47]  Jian Xu,et al.  Novel technique for built-in self-test of FPGA interconnects , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[48]  Michael J. Wirthlin,et al.  Voter insertion algorithms for FPGA designs using triple modular redundancy , 2010, FPGA '10.

[49]  Swarup Bhunia,et al.  Low-Power Variation-Tolerant Design in Nanometer Silicon , 2011 .

[50]  Kevin Skadron,et al.  Monitoring temperature in FPGA based SoCs , 2005, 2005 International Conference on Computer Design.

[51]  Jim Tørresen,et al.  The Xilinx Design Language (XDL): Tutorial and use cases , 2011, 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC).

[52]  Muhammad Shafique,et al.  A computation- and communication- infrastructure for modular special instructions in a dynamically reconfigurable processor , 2008, 2008 International Conference on Field Programmable Logic and Applications.

[53]  P. K. Lala Self-Checking and Fault-Tolerant Digital Design , 1995 .

[54]  Mihalis Psarakis,et al.  Test Generation and Fault Simulation for Cell Fault Model using Stuck-at Fault Model based Test Tools , 1998, J. Electron. Test..

[55]  Luigi Carro,et al.  Fault-Tolerance Techniques for SRAM-Based FPGAs (Frontiers in Electronic Testing) , 2006 .

[56]  Volker Lindenstruth,et al.  An FPGA-based High-Speed, Low-Latency Processing System for High-Energy Physics , 2010, 2010 International Conference on Field Programmable Logic and Applications.

[57]  Alan D. George,et al.  Reconfigurable fault tolerance: A framework for environmentally adaptive fault mitigation in space , 2009, 2009 International Conference on Field Programmable Logic and Applications.

[58]  Ping Chen,et al.  Built-in self-test of logic blocks in FPGAs (Finally, a free lunch: BIST without overhead!) , 1996, Proceedings of 14th VLSI Test Symposium.

[59]  Mehdi Baradaran Tahoori,et al.  Application-independent testing of FPGA interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[60]  Arthur D. Friedman,et al.  Easily Testable Iterative Systems , 1973, IEEE Transactions on Computers.

[61]  Shantanu Dutt,et al.  Efficient on-line testing of FPGAs with provable diagnosabilities , 2004, Proceedings. 41st Design Automation Conference, 2004..

[62]  Jörg Henkel,et al.  Partial online-synthesis for mixed-grained reconfigurable architectures , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).