Application Mapping and Scheduling for Network-on-Chip-Based Multiprocessor System-on-Chip With Fine-Grain Communication Optimization

Network-on-chip (NoC) is promising for the communication paradigm of the next-generation multiprocessor system-on-chip (MPSoC). As communication has become an integral part of on-chip computing, and even the performance bottleneck, researchers are paying much attention to its implementation and optimization. Traditional techniques that model communication inaccurately will lead to unexpected runtime performance, which is on average 90.8% worse than the predicted results based on observation, and are not suitable for the deep optimization of communication-intensive scenarios. In this paper, techniques are presented for the NoC-based MPSoCs that integrate optimization on interprocessor communications with the objective of minimizing the schedule length. A fine-grained integer-linear programming (ILP) model is proposed to properly address the communication latency with a network contention, which generates runtime scheduling with trivial performance difference from the predictions. We further propose a heuristic algorithm, unified priority-based scheduling (UPS), to effectively solve the contention problem in polynomial time by assigning priorities to messages. Evaluation results show that the solutions obtained by the ILP model outperform the state-of-the-art techniques by 31.1%, and UPS improves application performance by 34.7% and 44.4% compared with acquainted first-in-first-out (FIFO)-based and random-based methods. In addition, UPS achieves averagely 8.3% approximated results with the optimal solutions generated by ILP. A case study on H.264 high-definition television (HDTV) decoder and the digital signal processor (DSP) filter benchmarks achieves significant improvement on the performance and the results prediction accuracy, as well as the prominent reduction in the number of network contention and energy consumption.

[1]  Axel Jantsch,et al.  An Analytical Latency Model for Networks-on-Chip , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Qingfeng Zhuge,et al.  Contention-aware task and communication co-scheduling for network-on-chip based Multiprocessor System-on-Chip , 2014, 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications.

[3]  Yaoyao Ye,et al.  Efficient SAT-based application mapping and scheduling on multiprocessor systems for throughput maximization , 2015, 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

[4]  Wei Zhang,et al.  Traffic-Aware Application Mapping for Network-on-Chip Based Multiprocessor System-on-Chip , 2015, 2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, and 2015 IEEE 12th International Conference on Embedded Software and Systems.

[5]  Nectarios Koziris,et al.  An efficient algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures , 2000, Proceedings 8th Euromicro Workshop on Parallel and Distributed Processing.

[6]  Hannu Tenhunen,et al.  Memory-Efficient On-Chip Network With Adaptive Interfaces , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Jun Chang,et al.  Research on QoS of PTN-based Power Distribution Communication Network , 2011, Proceedings of 2011 International Conference on Computer Science and Network Technology.

[8]  Wayne H. Wolf,et al.  TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[9]  Radu Marculescu,et al.  Statistical physics approaches for network-on-chip traffic characterization , 2009, CODES+ISSS '09.

[10]  Wei Zhang,et al.  Distributed Sensor Network-on-Chip for Performance Optimization of Soft-Error-Tolerant Multiprocessor System-on-Chip , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Edwin Hsing-Mean Sha,et al.  FoToNoC: A hierarchical management strategy based on folded lorus-like Network-on-Chip for dark silicon many-core systems , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

[12]  Stefan M. Petters,et al.  EDF as an arbitration policy for wormhole-switched priority-preemptive NoCs — Myth or fact? , 2014, 2014 International Conference on Embedded Software (EMSOFT).

[13]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[14]  Alexander Hall,et al.  Energy efficient application mapping to NoC processing elements operating at multiple voltage levels , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[15]  Radu Marculescu,et al.  Sustainability through massively integrated computing: Are we ready to break the energy efficiency wall for single-chip platforms? , 2011, 2011 Design, Automation & Test in Europe.

[16]  Yuankun Xue,et al.  Mathematical models and control algorithms for dynamic optimization of multicore platforms: A complex dynamics approach , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[17]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Santanu Chattopadhyay,et al.  A survey on application mapping strategies for Network-on-Chip design , 2013, J. Syst. Archit..

[19]  Shashi Kumar,et al.  A two-step genetic algorithm for mapping task graphs to a network on chip architecture , 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..

[20]  Ran Ginosar,et al.  Routing Table Minimization for Irregular Mesh NoCs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[21]  Wei Zhang,et al.  A NoC Traffic Suite Based on Real Applications , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[22]  Radu Marculescu,et al.  Contention-aware application mapping for Network-on-Chip communication architectures , 2008, 2008 IEEE International Conference on Computer Design.

[23]  Radu Marculescu,et al.  Non-Stationary Traffic Analysis and Its Implications on Multicore Platform Design , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Radu Marculescu,et al.  Modeling, Analysis and Optimization of Network-on-Chip Communication Architectures , 2013, Lecture Notes in Electrical Engineering.

[25]  Leonel Sousa,et al.  Communication contention in task scheduling , 2005, IEEE Transactions on Parallel and Distributed Systems.

[26]  Radu Marculescu,et al.  A comprehensive and accurate latency model for Network-on-Chip performance analysis , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[27]  Leonel Sousa,et al.  Comparison of contention aware list scheduling heuristics for cluster computing , 2001, Proceedings International Conference on Parallel Processing Workshops.

[28]  Luca Benini,et al.  Packetization and routing analysis of on-chip multiprocessor networks , 2004, J. Syst. Archit..

[29]  E. Carvalho,et al.  Congestion-aware task mapping in heterogeneous MPSoCs , 2008, 2008 International Symposium on System-on-Chip.

[30]  Fernando Gehm Moraes,et al.  Dynamic Task Mapping for MPSoCs , 2010, IEEE Design & Test of Computers.

[31]  Amit Kumar Singh,et al.  Mapping Algorithms for NoC-Based Heterogeneous MPSoC Platforms , 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.

[32]  Zhigang Mao,et al.  An application specific NoC mapping for optimized delay , 2006, International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006..

[33]  Manpreet Kaur,et al.  Contention-aware scheduling with task duplication , 2009, J. Parallel Distributed Comput..

[34]  Leonel Sousa,et al.  Experimental Evaluation of Task Scheduling Accuracy: Implications for the Scheduling Model , 2003 .

[35]  Amit Kumar Singh,et al.  Mapping on multi/many-core systems: Survey of current and emerging trends , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[36]  Radu Marculescu,et al.  Target NoC Platform , 2013 .

[37]  Kiyoung Choi,et al.  Mapping and Scheduling of Tasks and Communications on Many-Core SoC Under Local Memory Constraint , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[38]  Kurt Keutzer,et al.  Efficient Parallelization of H.264 Decoding with Macro Block Level Scheduling , 2007, 2007 IEEE International Conference on Multimedia and Expo.

[39]  Amit Kumar Singh,et al.  Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms , 2010, J. Syst. Archit..

[40]  Xue Liu,et al.  Efficient SAT-Based Mapping and Scheduling of Homogeneous Synchronous Dataflow Graphs for Throughput Optimization , 2008, 2008 Real-Time Systems Symposium.

[41]  Radu Marculescu,et al.  Key research problems in NoC design: a holistic perspective , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[42]  Xiaowen Wu,et al.  Satisfiability Modulo Graph Theory for Task Mapping and Scheduling on Multiprocessor Systems , 2011, IEEE Transactions on Parallel and Distributed Systems.

[43]  Sunil Kumar,et al.  Latency Analysis of Network-on-Chip Based Many-Core Processors , 2014, 2014 22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing.

[44]  Krishnan Srinivasan,et al.  Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[45]  Wei Zhang,et al.  On-chip sensor networks for soft-error tolerant real-time multiprocessor systems-on-chip , 2014, JETC.

[46]  Kees G. W. Goossens,et al.  Congestion-Controlled Best-Effort Communication for Networks-on-Chip , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[47]  Alexandre M. Amory,et al.  Multi-task dynamic mapping onto NoC-based MPSoCs , 2011, SBCCI '11.

[48]  Alberto Sangiovanni-Vincentelli,et al.  Classification, Customization, and Characterization: Using MILP for Task Allocation and Scheduling , 2006 .

[49]  Radu Marculescu,et al.  An Analytical Approach for Network-on-Chip Performance Analysis , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.