Computational nanometrology of line-edge roughness: noise effects, cross-line correlations and the role of etch transfer

The aim of this paper is to investigate the role of etch transfer in two challenges of LER metrology raised by recent evolutions in lithography: the effects of SEM noise and the cross-line and edge correlations. The first comes from the ongoing scaling down of linewidths, which dictates SEM imaging with less scanning frames to reduce specimen damage and hence with more noise. During the last decade, it has been shown that image noise can be an important budget of the measured LER while systematically affects and alter the PSD curve of LER at high frequencies. A recent method for unbiased LER measurement is based on the systematic Fourier or correlation analysis to decompose the effects of noise from true LER (Fourier-Correlation filtering method). The success of the method depends on the PSD and HHCF curve. Previous experimental and model works have revealed that etch transfer affects the PSD of LER reducing its high frequency values. In this work, we estimate the noise contribution to the biased LER through PSD flat floor at high frequencies and relate it with the differences between the PSDs of lithography and etched LER. Based on this comparison, we propose an improvement of the PSD/HHCF-based method for noise-free LER measurement to include the missed high frequency real LER. The second issue is related with the increased density of lithographic patterns and the special characteristics of DSA and MP lithography patterns exhibits. In a previous work, we presented an enlarged LER characterization methodology for such patterns, which includes updated versions of the old metrics along with new metrics defined and developed to capture cross-edge and cross-line correlations. The fundamental concept has been the Line Center Roughness (LCR), the edge c-factor and the line c-factor correlation function and length quantifying the line fluctuations and the extent of cross-edge and cross-line correlations. In this work, we focus on the role of etch steps on cross-edge and line correlation metrics in SAQP data. We find that the spacer etch steps reduce edge correlations while etch steps with pattern transfer increase these. Furthermore, the density doubling and quadrupling increase edge correlations as well as cross-line correlations.

[1]  A. Yamaguchi,et al.  Characterization of line edge roughness in resist patterns by Fourier analysis and auto-correlation function , 2002, 2002 International Microprocesses and Nanotechnology Conference, 2002. Digest of Papers..

[2]  Gian Francesco Lorusso,et al.  Setting up a proper power spectral density (PSD) and autocorrelation analysis for material and process characterization , 2018, Advanced Lithography.

[3]  Gian Francesco Lorusso,et al.  Line width roughness accuracy analysis during pattern transfer in self-aligned quadruple patterning process , 2016, SPIE Advanced Lithography.

[5]  C. Mack,et al.  Unbiased roughness measurements: Subtracting out SEM effects , 2018 .

[6]  Lei Wan,et al.  Line Roughness in Lamellae-Forming Block Copolymer Films , 2017 .

[7]  E. Gogolides,et al.  Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions , 2004 .

[8]  Vito Rutigliani,et al.  Multifractal analysis of line-edge roughness , 2018, Advanced Lithography.

[9]  Vassilios Constantoudis,et al.  Evaluation of methods for noise-free measurement of LER/LWR using synthesized CD-SEM images , 2013, Advanced Lithography.

[10]  David J. Whitehouse,et al.  Surfaces and their Measurement , 2002 .

[11]  Evangelos Gogolides,et al.  Challenges in line edge roughness metrology in directed self-assembly lithography: placement errors and cross-line correlations , 2017 .

[12]  J. S. Villarrubia,et al.  Unbiased estimation of linewidth roughness , 2005, SPIE Advanced Lithography.

[13]  Richard K. Leach,et al.  Characterisation of Areal Surface Texture , 2013 .

[14]  Evangelos Gogolides,et al.  Allowable SEM noise for unbiased LER measurement , 2018, Advanced Lithography.

[15]  Shimon Levi,et al.  Edge roughness characterization of advanced patterning processes using power spectral density analysis (PSD) , 2016, SPIE Advanced Lithography.