Dynamic Frequency Scaling Aware Opportunistic Through-Silicon-Via Inductor Utilization in Resonant Clocking

LC resonant clock is a viable option for low power on-chip clock distributions. A major limiting factor to its implementation is the large area overhead due to the use of conventional spiral inductors. On the other hand, idle through-silicon-vias (TSVs) in 3-D integrated circuits (3-D ICs) can form vertical inductors with minimal footprint and have little noise coupling with horizontal traces, particularly suitable for the application of LC resonant clock. However, due to the strict constraints on the location of idle TSVs, the use of the TSV inductor is constrained by its location, inductance, and quality factor. The problem is further complicated by dynamic frequency scaling (DFS), where the resonant tanks need to accommodate multiple clock frequencies. Moreover, these TSV inductors can be in any orientation with any distance apart, thereby causing complicated coupling effects. In this paper, we first present a novel scheme to opportunistically use idle TSVs to form inductors in LC resonant clock of 3-D ICs for maximum power reduction in clock-distribution network (CDN) at a fixed frequency, and then extend it to DFS schemes. Experimental results on a few industrial designs for the resonant CDNs operated at a fixed frequency of 3 GHz show that the power consumption is reduced by up to 47.9% compared with the conventional CDNs without resonant clocking. In addition, for the resonant CDNs with DFS scheme, the power consumption reduced by up to 42.3%, 39.0%, 38.3%, 34.3%, and 28.6% at 3, 2.5, 2, 1.5, and 1 GHz frequency, respectively, compared with the CDNs without resonant clocking. When compared with CDNs with conventional spiral inductors, our scheme with TSV inductors can reduce the inductor footprint by up to $6.30 \times$ with the same power consumption.

[1]  G. VanAckern Design Guide for CMOS Process On-Chip 3D Inductor using Thru-Wafer Vias , 2011 .

[2]  Marios C. Papaefthymiou,et al.  Design Methodology for Synthesizing Resonant Clock Networks in the Presence of Dynamic Voltage/Frequency Scaling , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Frank O'Mahony,et al.  A 10-GHz global clock distribution using coupled standing-wave oscillators , 2003 .

[4]  S. Lipa,et al.  Rotary traveling-wave oscillator arrays: a new clock technology , 2001 .

[5]  Yiyu Shi,et al.  Opportunistic through-silicon-via inductor utilization in LC resonant clocks: Concept and algorithms , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  Diana Marculescu,et al.  Analysis of dynamic voltage/frequency scaling in chip-multiprocessors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[7]  Pingqiang Zhou,et al.  Congestion-aware power grid optimization for 3D circuits using MIM and CMOS decoupling capacitors , 2009, 2009 Asia and South Pacific Design Automation Conference.

[8]  Matthew R. Guthaus,et al.  Distributed LC Resonant Clock Grid Synthesis , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[9]  Matthew R. Guthaus Distributed LC resonant clock tree synthesis , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[10]  Hidehiro Fujiwara,et al.  A Two-Port SRAM for Real-Time Video Processor Saving 53% of Bitline Power with Majority Logic and Data-Bit Reordering , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[11]  Kenneth L. Shepard,et al.  Design of resonant global clock distributions , 2003, Proceedings 21st International Conference on Computer Design.

[12]  Yiyu Shi,et al.  Modeling and Optimization of Magnetic Core TSV-Inductor for On-Chip DC-DC Converter , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[13]  Xinhai Bian,et al.  Simulation and modeling of wafer level silicon-base spiral inductor , 2012, 2012 13th International Conference on Electronic Packaging Technology & High Density Packaging.

[14]  Paul D. Franzon,et al.  Creating 3D specific systems: Architecture, design and CAD , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[15]  Marios C. Papaefthymiou,et al.  Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor , 2013, IEEE Journal of Solid-State Circuits.

[16]  Yong-Zhong Xiong,et al.  3D TSV transformer design for DC-DC/AC-DC converter , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).

[17]  Matthew R. Guthaus,et al.  Multi-frequency resonant clocks , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

[18]  フランク チャン,マウ−チュン,et al.  Interleaved three dimensional on-chip differential inductors and transformers , 2006 .

[19]  Michael G. Dimopoulos,et al.  Prospects of 3D inductors on through silicon vias processes for 3D ICs , 2011, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip.

[20]  Yiyu Shi,et al.  Through-silicon-via inductor: Is it real or just a fantasy? , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[21]  K.L. Shepard,et al.  A 4.6GHz resonant global clock distribution network , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[22]  Yiyu Shi,et al.  From Layout to System: Early Stage Power Delivery and Architecture Co-Exploration , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Hsien-Hsin S. Lee,et al.  3D-MAPS: 3D Massively parallel processor with stacked memory , 2012, 2012 IEEE International Solid-State Circuits Conference.

[24]  Yiyu Shi,et al.  On the Efficacy of Through-Silicon-Via Inductors , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[25]  Umamaheswara Rao Tida,et al.  Novel Through-Silicon-Via Inductor-Based On-Chip DC-DC Converter Designs in 3D ICs , 2014, JETC.

[26]  Yiyu Shi,et al.  PWM-controlled DC-DC converter designs in 3D ICs using through-silicon-via inductors , 2016, 2016 China Semiconductor Technology International Conference (CSTIC).

[27]  David Blaauw,et al.  Theoretical and practical limits of dynamic voltage scaling , 2004, Proceedings. 41st Design Automation Conference, 2004..

[28]  Yong Kim,et al.  Resonant clock mega-mesh for the IBM z13TM , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[29]  Giovanni De Micheli,et al.  Design of Resonant Clock Distribution Networks for 3-D Integrated Circuits , 2011, PATMOS.

[30]  Massoud Pedram,et al.  Gated clock routing minimizing the switched capacitance , 1998, Proceedings Design, Automation and Test in Europe.

[31]  Luca Benini,et al.  Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.