NoC simulation in heterogeneous architectures for PGAS programming model
暂无分享,去创建一个
[1] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[2] Radu Marculescu,et al. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[3] Israel Cidon,et al. NoCs simulation framework for OMNeT++ , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[4] Henry Hoffmann,et al. On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.
[5] Timothy Mattson,et al. A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[6] Lionel M. Ni,et al. A survey of wormhole routing techniques in direct networks , 1993, Computer.
[7] Jürgen Teich,et al. Fast architecture evaluation of heterogeneous MPSoCs by host-compiled simulation , 2012, Map2MPSoC/SCOPES.
[8] Jörg Henkel,et al. Invasive manycore architectures , 2012, 17th Asia and South Pacific Design Automation Conference.
[9] Srinivasan Murali,et al. Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[10] Jürgen Becker,et al. A Scalable NoC Router Design Providing QoS Support Using Weighted Round Robin Scheduling , 2012, 2012 IEEE 10th International Symposium on Parallel and Distributed Processing with Applications.
[11] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[12] Vivek Sarkar,et al. X10: an object-oriented approach to non-uniform cluster computing , 2005, OOPSLA '05.
[13] T.Z. Islam,et al. Gpnocsim - A General Purpose Simulator for Network-On-Chip , 2007, 2007 International Conference on Information and Communication Technology.
[14] William J. Dally. Virtual-channel flow control , 1990, ISCA '90.
[15] Axel Jantsch,et al. Will Networks on Chip Close the Productivity Gap? , 2003, Networks on Chip.