Analyzing the effects of peripheral circuit aging of embedded SRAM architectures

Modern System-on-Chips rely heavily on the performance of their embedded memories which are also most susceptible to the increasing reliability challenges of today's nanoscale technology nodes. However, in contrast to memory core-cells, the effects of transistor aging inside the peripheral logic of SRAM architectures have received little attention. This study works out how BTI and HCI induced wear-out of the peripheral SRAM circuitry impacts various performance metrics of an industrially used memory library. We show that the degradation of the peripheral logic is the dominant driver for access speed loss while it tends to slightly lower memory read margin and lead to minor improvements of write margin. We furthermore show that in terms of access time margin the degradation of SRAM control circuitry counteracts aging effects inside core-cells and sense amplifiers. Surprisingly, wear-out of peripheral circuitry can even improve access time margin in case when the relative magnitude of PBTI is much lower compared with NBTI. Based on the example of an embedded memory library, this study further underlines the importance to analyze aging mechanisms at system level rather than for its individual interacting sub-circuits.

[1]  Kaushik Roy,et al.  Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Bharadwaj Amrutur,et al.  A replica technique for wordline and sense control in low-power SRAM's , 1998, IEEE J. Solid State Circuits.

[3]  Hao-I Yang,et al.  Impacts of NBTI/PBTI on Timing Control Circuits and Degradation Tolerant Design in Nanoscale CMOS SRAM , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[4]  Sachin S. Sapatnekar,et al.  Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[5]  Francky Catthoor,et al.  BTI analysis of SRAM write driver , 2015, 2015 10th International Design & Test Symposium (IDT).

[6]  Lawrence T. Pileggi,et al.  Mismatch analysis and statistical design at 65 nm and below , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[7]  C. Schlünder Device reliability challenges for modern semiconductor circuit design – a review , 2009 .

[8]  Francky Catthoor,et al.  Read path degradation analysis in SRAM , 2016, 2016 21th IEEE European Test Symposium (ETS).

[9]  R. Degraeve,et al.  Origin of NBTI variability in deeply scaled pFETs , 2010, 2010 IEEE International Reliability Physics Symposium.

[10]  Xiaofei Wang,et al.  Duty-cycle shift under asymmetric BTI aging: A simple characterization method and its application to SRAM timing , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[11]  Ching-Te Chuang,et al.  Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability , 2009, Microelectron. Reliab..

[12]  M.A. Alam,et al.  A critical examination of the mechanics of dynamic NBTI for PMOSFETs , 2003, IEEE International Electron Devices Meeting 2003.

[13]  Georg Georgakos,et al.  Impact of negative and positive bias temperature stress on 6T-SRAM cells , 2009 .

[14]  Yu Cao,et al.  Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[15]  Francky Catthoor,et al.  Integral impact of BTI and voltage temperature variation on SRAM sense amplifier , 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).

[16]  Philippe Maurine,et al.  A Novel Dummy Bitline Driver for Read Margin Improvement in an eSRAM , 2008, 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008).