A power-efficient network on-chip topology
暂无分享,去创建一个
J. Flich | H. Eberle | J. Duato | J. Camacho | W. Olesinski
[1] Min Xu,et al. Evaluating Non-deterministic Multi-threaded Commercial Workloads , 2001 .
[2] Andrew B. Kahng,et al. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[3] William J. Dally,et al. Flattened Butterfly Topology for On-Chip Networks , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[4] William J. Dally,et al. Express Cubes: Improving the Performance of k-Ary n-Cube Interconnection Networks , 1989, IEEE Trans. Computers.
[5] Onur Mutlu,et al. Express Cube Topologies for on-Chip Interconnects , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[6] Saurabh Dighe,et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[7] Mahmood Fathy,et al. A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction , 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.
[8] Fredrik Larsson,et al. Simics: A Full System Simulation Platform , 2002, Computer.
[9] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[10] M. Suzuoki,et al. Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor , 2006, IEEE Journal of Solid-State Circuits.
[11] Wen-Hsiang Hu,et al. DMesh : a Diagonally-Linked Mesh Network-on-Chip Architecture , 2008 .
[12] William J. Dally,et al. Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.
[13] Vivek Sarkar,et al. Baring It All to Software: Raw Machines , 1997, Computer.
[14] Milo M. K. Martin,et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.
[15] Henry Hoffmann,et al. On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.
[16] C. Sanges,et al. A recursively scalable network VLSI implementation , 1988, Future Gener. Comput. Syst..
[17] Pradip Bose,et al. Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).