Pin Accessibility-Driven Detailed Placement Refinement

The significantly increased number of routing design rules at sub-20nm nodes has made pin access one of the most critical challenges in detailed routing. Resolving pin access issues in detailed routing stage may be too late due to the fixed pin locations, especially in the area with high pin density. In placement stage when cell movement is allowed, the consideration of pin access has more flexibility. We propose a refinement stage after detailed placement to improve pin access. To respect the given placement solution, the refinement techniques are restricted to cell flipping, same-row adjacent cell swap, and cell shifting. A cost function is presented to model pin access for each pin-to-pin connection. Based on the cost function, two phases are proposed to improve pin access for all the connections simultaneously. In the first phase, we refine the placement by cell flipping and same-row adjacent cell swap. The problem is solved by dynamic programming row by row. In the second phase, only cell shifting is used, and a linear program is formulated to further refine the placement. Experimental results demonstrate that the proposed detailed placement refinement can improve pin access and reduce unroutable nets by about 33% in the detailed routing stage.

[1]  Muhammet Mustafa Ozdal Detailed-Routing Algorithms for Dense Pin Clusters in Integrated Circuits , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Zhongdong Qi,et al.  Accurate prediction of detailed routing congestion using supervised data learning , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

[3]  Jason Cong,et al.  Via design rule consideration in multilayer maze routing algorithms , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Malgorzata Marek-Sadowska,et al.  Can pin access limit the footprint scaling? , 2012, DAC Design Automation Conference 2012.

[5]  Yanheng Zhang,et al.  CROP: Fast and effective congestion refinement of placement , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[6]  Chris C. N. Chu,et al.  Throughput optimization for SADP and e-beam based manufacturing of 1D layout , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  Cheng-Kok Koh,et al.  Optimization of placement solutions for routability , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[8]  Tim Nieberg Gridless pin access in detailed routing , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[9]  Ismail Bustany,et al.  ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement , 2014, ISPD '14.

[10]  David Z. Pan,et al.  PARR: Pin access planning and regular routing for self-aligned double patterning , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[11]  Meng-Kai Hsu,et al.  Design and manufacturing process co-optimization in nano-technology , 2014, ICCAD.

[12]  Taraneh Taghavi,et al.  New placement prediction and mitigation techniques for local routing congestion , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[13]  References , 1971 .

[14]  David Z. Pan,et al.  Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization , 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Wai-Kei Mak,et al.  Self-Aligned Double Patterning Lithography Aware Detailed Routing With Color Preassignment , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Wai-Kei Mak,et al.  Self-aligned double patterning-aware detailed routing with double via insertion and via manufacturability consideration , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[17]  Sachin S. Sapatnekar,et al.  GLARE: Global and local wiring aware routability evaluation , 2012, DAC Design Automation Conference 2012.