VERVE: A framework for variation-aware energy efficient synthesis of NoC-based MPSoCs with voltage islands

With feature sizes far below the wavelength of light, variations in fabrication processes are becoming more common and can lead to unpredictable behavior in modern multiprocessor system-on-chip (MPSoC) designs. The design costs associated with margining required to overcome this unpredictability can be prohibitively high. System-level design approaches that are aware of these variations can be crucial for designing energy-efficient systems. We note that by performing voltage island placement appropriately, the two major unintended consequences of variations on the circuit characteristics (altered delay and power dissipation) can be traded-off, in order to minimize overall system energy. To this end, we propose a novel design-time system-level synthesis framework that is cognizant of process variations while mapping cores operating at specific supply voltages to a die and allocating communication routes on a 2D-mesh network-on-chip (NoC) topology for optimal energy-efficiency. Our experiments with real-world and synthetic application benchmarks show that our framework achieves 3.4% savings in computation energy and 19% savings in communication energy compared to the best known prior work on NoC-based MPSoC synthesis that considers process variations.

[1]  David Z. Pan,et al.  A Voltage-Frequency Island Aware Energy Optimization Framework for Networks-on-Chip , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[2]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[3]  J. Torrellas,et al.  VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.

[4]  Steven M. Nowick,et al.  A low-latency FIFO for mixed-clock systems , 2000, Proceedings IEEE Computer Society Workshop on VLSI 2000. System Design for a System-on-Chip Era.

[5]  Kevin Skadron,et al.  Impact of Process Variations on Multicore Performance Symmetry , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[6]  Mark G. Karpovsky,et al.  Application of network calculus to general topologies using turn-prohibition , 2003, TNET.

[7]  Keith A. Bowman,et al.  Variation-tolerant circuits: circuit solutions and techniques , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[8]  Siddharth Garg,et al.  System-Level Process Variation Driven Throughput Analysis for Single and Multiple Voltage-Frequency Island Designs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[9]  John M. Cohn,et al.  Managing power and performance for System-on-Chip designs using Voltage Islands , 2002, ICCAD 2002.

[10]  Radu Marculescu,et al.  Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[11]  Kees G. W. Goossens,et al.  Process-variation aware mapping of real-time streaming applications to MPSoCs for improved yield , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[12]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[13]  Josep Torrellas,et al.  Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors , 2008, 2008 International Symposium on Computer Architecture.

[14]  Narayanan Vijaykrishnan,et al.  Variation-Aware Task and Communication Mapping for MPSoC Architecture , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Mahmut T. Kandemir,et al.  Process variation aware thread mapping for Chip Multiprocessors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[16]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[17]  Siddharth Garg,et al.  Exploiting Process Variability in Voltage/Frequency Control , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Mark G. Karpovsky,et al.  Application of network calculus to general topologies using turn-prohibition , 2002, Proceedings.Twenty-First Annual Joint Conference of the IEEE Computer and Communications Societies.

[19]  Sudeep Pasricha,et al.  VISION: a framework for voltage island aware synthesis of interconnection networks-on-chip , 2011, GLSVLSI '11.

[20]  Rabab Kreidieh Ward,et al.  Energy Optimization for Many-Core Platforms: Communication and PVT Aware Voltage-Island Formation and Voltage Selection Algorithm , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.