Exploiting instruction-level parallelism : a constructive approach
暂无分享,去创建一个
[1] Ahmed Amine Jerraya,et al. Combined control flow dominated and data flow dominated high-level synthesis , 1996, DAC '96.
[2] Monica S. Lam,et al. Limits of control flow on parallelism , 1992, ISCA '92.
[3] Rolf Ernst,et al. Combining MBP-speculative computation and loop pipelining in high-level synthesis , 1995, Proceedings the European Design and Test Conference. ED&TC 1995.
[4] Alexandru Nicolau,et al. Advances in languages and compilers for parallel processing , 1991 .
[5] Joseph A. Fisher,et al. Trace Scheduling: A Technique for Global Microcode Compaction , 1981, IEEE Transactions on Computers.
[6] Edwin Hsing-Mean Sha,et al. Rotation Scheduling: A Loop Pipelining Algorithm , 1993, 30th ACM/IEEE Design Automation Conference.
[7] Jayesh Siddhiwala,et al. Scheduling conditional data-flow graphs with resource sharing , 1995, Proceedings. Fifth Great Lakes Symposium on VLSI.
[8] Hugo De Man,et al. Integration of medium-throughput signal processing algorithms on flexible instruction-set architectures , 1995, J. VLSI Signal Process..
[9] Alice C. Parker,et al. MAHA: A Program for Datapath Synthesis , 1986, 23rd ACM/IEEE Design Automation Conference.
[10] Leon Stok,et al. Architectural synthesis and optimization of digital systems , 1991 .
[11] Soo-Mook Moon,et al. An efficient resource-constrained global scheduling technique for superscalar and VLIW processors , 1992, MICRO 25.
[12] Kemal Ebcioglu,et al. A compilation technique for software pipelining of loops with conditional jumps , 1987, MICRO 20.
[13] Hugo De Man,et al. High-level synthesis for real-time digital signal processing , 1993, The Kluwer international series in engineering and computer science.
[14] Yu-Chin Hsu,et al. Efficient microcode arrangement and controller synthesis for application specific integrated circuits , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.
[15] Alexander Aiken,et al. Resource-Constrained Software Pipelining , 1995, IEEE Trans. Parallel Distributed Syst..
[16] Rudolf Eigenmann,et al. Automatic program parallelization , 1993, Proc. IEEE.
[17] van Jtj Jos Eijndhoven,et al. The ASCIS data flow graph : semantics and textual format , 1991 .
[18] Kazutoshi Wakabayashi,et al. Global scheduling independent of control dependencies based on condition vectors , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.
[19] Jochen A. G. Jess,et al. NEAT: an object oriented high-level synthesis interface , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.
[20] Francky Catthoor,et al. Fast and extensive system-level memory exploration for ATM applications , 1997, Proceedings. Tenth International Symposium on System Synthesis (Cat. No.97TB100114).
[21] Forrest Brewer,et al. A new symbolic technique for control-dependent scheduling , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[22] C. L. Liu,et al. A scheduling algorithm for conditional resource sharing-a hierarchical reduction approach , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[23] J. F. Wang,et al. A Tree-Based Scheduling Algorithm for Control-Dominated Circuits , 1993, 30th ACM/IEEE Design Automation Conference.
[24] Minjoong Rim,et al. Global scheduling with code-motions for high-level synthesis applications , 1995, IEEE Trans. Very Large Scale Integr. Syst..
[25] J. P. Veen,et al. A method to control compensation code during global scheduling , 1997 .
[26] Bozena Kaminska,et al. Functional synthesis of digital systems with TASS , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[27] Toshio Nakatani,et al. A new compilation technique for parallelizing loops with unpredictable branches on a VLIW architecture , 1990 .
[28] Kazutoshi Wakabayashi,et al. A resource sharing and control synthesis method for conditional branches , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.
[29] Rajiv Gupta,et al. Region Scheduling: An Approach for Detecting and Redistributing Parallelism , 1990, IEEE Trans. Software Eng..
[30] Alexandru Nicolau,et al. Uniform Parallelism Exploitation in Ordinary Programs , 1985, ICPP.
[31] Ken Kennedy,et al. Conversion of control dependence to data dependence , 1983, POPL '83.
[32] C. A. J. van Eijk,et al. A code-motion pruning technique for global scheduling , 2000, TODE.
[33] Ah Adwin Timmer. From design space exploration to code generation : a constraint satisfaction approach for the architectural synthesis of digital VLSI circuits , 1996 .
[34] Jos T. J. van Eijndhoven,et al. A constructive method for exploiting code motion , 1996, Proceedings of 9th International Symposium on Systems Synthesis.
[35] Raul Camposano,et al. Path-based scheduling for synthesis , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[36] Kenneth Steiglitz,et al. Combinatorial Optimization: Algorithms and Complexity , 1981 .
[37] Minjoong Rim,et al. Representing conditional branches for high-level synthesis applications , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.
[38] Jochen A. G. Jess,et al. Efficient code generation for in-house DSP-cores , 1995, Proceedings the European Design and Test Conference. ED&TC 1995.
[39] Daniel D. Gajski,et al. High ― Level Synthesis: Introduction to Chip and System Design , 1992 .
[40] Kemal Ebcioglu,et al. A global resource-constrained parallelization technique , 1989 .
[41] Michael D. Smith,et al. Efficient superscalar performance through boosting , 1992, ASPLOS V.
[42] Alfred V. Aho,et al. Compilers: Principles, Techniques, and Tools , 1986, Addison-Wesley series in computer science / World student series edition.
[43] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[44] M. Verstraelen,et al. I.McIC: A single-chip MPEG2 video encoder for storage , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.
[45] Giovanni De Micheli,et al. Synthesis and Optimization of Digital Circuits , 1994 .
[46] Louise Trevillyan,et al. Control-flow versus data-flow-based scheduling: combining both approaches in an adaptive scheduling system , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[47] van Jtj Jos Eijndhoven,et al. Combining code motion and scheduling , 1996 .
[48] Alexander Aiken,et al. Perfect Pipelining: A New Loop Parallelization Technique , 1988, ESOP.
[49] Reinaldo A. Bergamaschi,et al. Area and performance optimizations in path-based scheduling , 1991, Proceedings of the European Conference on Design Automation..
[50] Michel R. C. M. Berkelaar,et al. Efficient orthonormality testing for synthesis with pass-transistor selectors , 1995, ICCAD.
[51] Hugo De Man,et al. Architecture-driven synthesis techniques for VLSI implementation of DSP algorithms , 1990, Proc. IEEE.
[52] J.L. van Meerbergen,et al. Constraint analysis for DSP code generation , 1997, Proceedings. Tenth International Symposium on System Synthesis (Cat. No.97TB100114).
[53] J. P. Veen,et al. Modeling speculative execution and availability analysis with Boolean expressions , 1998 .
[54] Alexandru Nicolau,et al. Percolation based synthesis , 1991, DAC '90.
[55] Jan Karel Lenstra,et al. A local search template , 1998, Comput. Oper. Res..
[56] Jochen A. G. Jess,et al. Execution interval analysis under resource constraints , 1993, ICCAD.
[57] Joos Vandewalle,et al. Loop Optimization in Register-Transfer Scheduling for DSP-Systems , 1989, 26th ACM/IEEE Design Automation Conference.
[58] Wim F. J. Verhaegh,et al. PHIDEO: High-level synthesis for high throughput applications , 1995, J. VLSI Signal Process..
[59] Monica Sin-Ling Lam,et al. A Systolic Array Optimizing Compiler , 1989 .
[60] Peter Y.-T. Hsu,et al. Highly concurrent scalar processing , 1986, ISCA '86.
[61] M.J.M. Heijligers,et al. The application of genetic algorithms to high-level synthesis , 1996 .
[62] Richard P. Kleihorst,et al. Mpeg2 Video Encoding in Consumer Electronics , 1997, J. VLSI Signal Process..
[63] Toshio Nakatani,et al. Making Compaction-Based Parallelization Affordable , 1993, IEEE Trans. Parallel Distributed Syst..
[64] Alice C. Parker,et al. The high-level synthesis of digital systems , 1990, Proc. IEEE.
[65] Jochen A. G. Jess,et al. Conflict Modelling and Instruction Scheduling in Code Generation for In-House DSP Cores , 1995, 32nd Design Automation Conference.