Architectural Leakage Power Minimization of Scratchpad Memories by Application-Driven Subbanking
暂无分享,去创建一个
[1] Mahmut T. Kandemir,et al. Nonuniform banking for reducing memory energy consumption , 2005, Design, Automation and Test in Europe.
[2] Luca Benini,et al. An efficient profile-based algorithm for scratchpad memory partitioning , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[3] Enrico Macii,et al. Architectural Leakage-Aware Management of Partitioned Scratchpad Memories , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[4] Kaushik Roy,et al. Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.
[5] Alvin M. Despain,et al. Cache design trade-offs for power and performance optimization: a case study , 1995, ISLPED '95.
[6] Salar Alipour,et al. Micro-architectural power estimation and optimization , 2009, 2009 IEEE International Conference on Electro/Information Technology.
[7] Yuzhuo Fu,et al. Reducing leakage power in instruction cache using WDC for embedded processors , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[8] Alexandru Nicolau,et al. Memory Issues in Embedded Systems-on-Chip , 1999 .
[9] Lea Hwang Lee,et al. Designing the Low-Power MCORE TM Architecture , 1998 .
[10] Mordecai Avriel,et al. Nonlinear programming , 1976 .
[11] Mahmut T. Kandemir,et al. Compiler-guided leakage optimization for banked scratch-pad memories , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Frank Vahid,et al. Platune: a tuning framework for system-on-a-chip platforms , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[13] Trevor Mudge,et al. MiBench: A free, commercially representative embedded benchmark suite , 2001 .
[14] T. Mudge,et al. Drowsy caches: simple techniques for reducing leakage power , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[15] Luca Benini,et al. Layout-driven memory synthesis for embedded systems-on-chip , 2002, IEEE Trans. Very Large Scale Integr. Syst..
[16] Donald E. Thomas,et al. Memory modeling for system synthesis , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[17] Margaret Martonosi,et al. Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, ISCA 2001.
[18] Luca Benini,et al. Memory design techniques for low energy embedded systems , 2002 .
[19] Chaitali Chakrabarti,et al. Memory exploration for low power embedded systems , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).