Energy parsimonious circuit design through probabilistic pruning
暂无分享,去创建一个
Lingamneni Avinash | Krishna V. Palem | Jean-Luc Nagel | Christian Piguet | Christian C. Enz | C. Piguet | C. Enz | K. Palem | L. Avinash | Jean-Luc Nagel
[1] James M. Tour,et al. Molecular Electronic Computing Architectures , 2002, Nano and Molecular Electronics Handbook.
[2] Babak Falsafi,et al. Dual use of superscalar datapath for transient-fault detection and recovery , 2001, MICRO.
[3] J. Neumann. Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .
[4] Nicholas Pippenger,et al. Analysis of Carry Propagation in Addition: An Elementary Approach , 2001, J. Algorithms.
[5] Kaushik Roy,et al. Process Variation Tolerant Low Power DCT Architecture , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[6] Stephen A. Cook,et al. The complexity of theorem-proving procedures , 1971, STOC.
[7] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[8] Krishna V. Palem,et al. Ultra-Efficient (Embedded) SOC Architectures based on Probabilistic CMOS (PCMOS) Technology , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[9] Krishna V. Palem,et al. Ultra Low-energy Computing via Probabilistic Algorithms and Devices: CMOS Device Primitives and the Energy-Probability Relationship , 2004 .
[10] Richard M. Karp,et al. Probabilistic Analysis of Partitioning Algorithms for the Traveling-Salesman Problem in the Plane , 1977, Math. Oper. Res..
[11] Krishna V. Palem,et al. Energy aware algorithm design via probabilistic computing: from algorithms and models to Moore's law and novel (semiconductor) devices , 2003, CASES '03.
[12] K.A. Bowman,et al. Energy-efficient and metastability-immune timing-error detection and recovery circuits for dynamic variation tolerance , 2008, 2008 IEEE International Conference on Integrated Circuit Design and Technology and Tutorial.
[13] Lingamneni Avinash,et al. Highly energy and performance efficient embedded computing through approximately correct arithmetic: a mathematical foundation and preliminary experimental validation , 2008, CASES '08.
[14] Richard M. Karp,et al. Reducibility Among Combinatorial Problems , 1972, 50 Years of Integer Programming.
[15] J. Tour,et al. Resistive switches and memories from silicon oxide. , 2010, Nano letters.
[16] Massimo Alioto,et al. Impact of Supply Voltage Variations on Full Adder Delay: Analysis and Comparison , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[17] Douglas L. Jones,et al. Scalable stochastic processors , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[18] John Sartori,et al. Slack redistribution for graceful degradation under voltage overscaling , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[19] Reto Zimmermann,et al. Binary adder architectures for cell-based VLSI and their synthesis , 1997 .
[20] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[21] Krishna V. Palem,et al. Energy aware computing through probabilistic switching: a study of limits , 2005, IEEE Transactions on Computers.
[22] David Harris,et al. A taxonomy of parallel prefix networks , 2003, The Thrity-Seventh Asilomar Conference on Signals, Systems & Computers, 2003.
[23] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[24] Lingamneni Avinash,et al. Sustaining moore's law in embedded computing through probabilistic and approximate design: retrospects and prospects , 2009, CASES '09.
[25] Krishna V. Palem,et al. Probabilistic arithmetic and energy efficient embedded signal processing , 2006, CASES '06.