A PMOS read-port 8T SRAM cell with optimized leakage power and enhanced performance

[1]  A.P. Chandrakasan,et al.  A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.

[2]  A.P. Chandrakasan,et al.  A Reconfigurable 8T Ultra-Dynamic Voltage Scalable (U-DVS) SRAM in 65 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[3]  Xin Liu,et al.  0.2 V 8T SRAM With PVT-Aware Bitline Sensing and Column-Based Data Randomization , 2016, IEEE Journal of Solid-State Circuits.

[4]  Francky Catthoor,et al.  Ultra Low-Energy SRAM Design for Smart Ubiquitous Sensors , 2012, IEEE Micro.

[5]  Jinn-Shyan Wang,et al.  Sub-threshold SRAM bit cell pnn for VDDmin and power reduction , 2014 .

[6]  J. Segura,et al.  Pass-transistors pMOS based 8T SRAM cell for layout compaction , 2011, Proceedings of the 8th Spanish Conference on Electron Devices, CDE'2011.

[7]  Shunsuke Okumura,et al.  A 40-nm 256-Kb Half-Select Resilient 8T SRAM with Sequential Writing Technique , 2012, IEICE Electron. Express.

[8]  C.H. Kim,et al.  A Voltage Scalable 0.26 V, 64 kb 8T SRAM With V$_{\min}$ Lowering Techniques and Deep Sleep Mode , 2008, IEEE Journal of Solid-State Circuits.

[9]  Kaushik Roy,et al.  A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[10]  Anh-Tuan Do,et al.  0.77 fJ/bit/search Content Addressable Memory Using Small Match Line Swing and Automated Background Checking Scheme for Variation Tolerance , 2014, IEEE Journal of Solid-State Circuits.

[11]  Hui Zhao,et al.  A 0.2 V-1.8 V 8T SRAM with Bit-interleaving Capability , 2014, IEICE Electron. Express.

[12]  C.H. Kim,et al.  A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing , 2008, IEEE Journal of Solid-State Circuits.

[13]  Shi-Yu Huang,et al.  P-P-N Based 10T SRAM Cell for Low-Leakage and Resilient Subthreshold Operation , 2011, IEEE Journal of Solid-State Circuits.

[14]  Bai Na,et al.  A 200 mV low leakage current subthreshold SRAM bitcell in a 130 nm CMOS process , 2012 .

[15]  Xinchao Shang,et al.  A 320 mV, 6 kb subthreshold 10T SRAM employing voltage lowering techniques , 2015 .

[16]  Chien-Yu Lu,et al.  A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing , 2012, IEEE Journal of Solid-State Circuits.

[17]  Kaushik Roy,et al.  A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[18]  Bo Zhai,et al.  A Variation-Tolerant Sub-200 mV 6-T Subthreshold SRAM , 2008, IEEE Journal of Solid-State Circuits.