A Survey and Taxonomy of GALS Design Styles

Single-clocked digital systems are largely a thing of the past. Although most digital circuits remain synchronous, many designs feature multiple clock domains, often running at different frequencies. Using an asynchronous interconnect decouples the timing issues for the separate blocks. Systems employing such schemes are called globally asynchronous, locally synchronous (GALS). To minimize time to market, large SoC designs must integrate many functional blocks with minimal design effort. These blocks are usually designed using standard synchronous methods and often have different clocking requirements. A GALS approach can facilitate fast block reuse by providing wrapper circuits to handle interblock communication across clock domain boundaries. SoCs may also achieve power savings by clocking different blocks at their minimum speeds. For example, Scott et al. describe the advantages of GALS design for an embedded-processor peripheral bus.

[1]  Joycee Mekie,et al.  Interface design for rationally clocked GALS systems , 2006, 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06).

[2]  Wolfgang Fichtner,et al.  Practical design of globally-asynchronous locally-synchronous systems , 2000, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).

[3]  Mark R. Greenstreet,et al.  Asynchronous IC interconnect network design and implementation using a standard ASIC flow , 2005, 2005 International Conference on Computer Design.

[4]  Suwen Yang,et al.  Computing Synchronizer Failure Probabilities , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[5]  Mark R. Greenstreet Implementing a STARI chip , 1995, Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.

[6]  Charles L. Seitz,et al.  Myrinet: A Gigabit-per-Second Local Area Network , 1995, IEEE Micro.

[7]  David H. Albonesi,et al.  A High Performance, Energy Efficient GALS ProcessorMicroarchitecture with Reduced Implementation Complexity , 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

[8]  Wolfgang Fichtner,et al.  GALS at ETH Zurich: success or failure? , 2006, 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06).

[9]  Steven M. Nowick,et al.  Robust interfaces for mixed-timing systems , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Ran Ginosar Fourteen ways to fool your synchronizer , 2003, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings..

[11]  Shahriar Mirabbasi,et al.  System-on-Chip: Reuse and Integration , 2006, Proceedings of the IEEE.

[12]  William J. Dally,et al.  Low-latency plesiochronous data retiming , 1995, Proceedings Sixteenth Conference on Advanced Research in VLSI.

[13]  Marly Roncken,et al.  Asynchronous on-Chip Communication: Explorations on the Intel PXA27x Processor Peripheral Bus , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).

[14]  Daniel Marcos Chapiro,et al.  Globally-asynchronous locally-synchronous systems , 1985 .

[15]  Uri Cummings PivotPoint: clockless crossbar switch for high-performance embedded systems , 2004, IEEE Micro.

[16]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[17]  Joycee Mekie,et al.  Evaluation of pausible clocking for interfacing high speed IP cores in GALS framework , 2004, 17th International Conference on VLSI Design. Proceedings..

[18]  Wayne P. Burleson,et al.  Synchro-tokens: a deterministic GALS methodology for chip-level debug and test , 2005, IEEE Transactions on Computers.

[19]  Diana Marculescu,et al.  Power and performance evaluation of globally asynchronous locally synchronous processors , 2002, ISCA.

[20]  Stephen B. Furber,et al.  Chain: A Delay-Insensitive Chip Area Interconnect , 2002, IEEE Micro.

[21]  Kenneth Y. Yun,et al.  Pausible clocking: a first step toward heterogeneous systems , 1996, Proceedings International Conference on Computer Design. VLSI in Computers and Processors.

[22]  Charles E. Molnar,et al.  Anomalous Behavior of Synchronizer and Arbiter Circuits , 1973, IEEE Transactions on Computers.

[23]  Montek Singh,et al.  An architecture and a wrapper synthesis approach for multi-clock latency-insensitive systems , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[24]  Suwen Yang,et al.  Simulating Improbable Events , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[25]  Michael L. Scott,et al.  Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[26]  Andrew Lines,et al.  Asynchronous interconnect for synchronous SoC design , 2004, IEEE Micro.

[27]  Marc Renaudin Asynchronous circuits and systems , 2000 .

[28]  J.N. Seizovic,et al.  Pipeline synchronization , 1994, Proceedings of 1994 IEEE Symposium on Advanced Research in Asynchronous Circuits and Systems.

[29]  Kenneth Y. Yun,et al.  Pausible clocking-based heterogeneous systems , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[30]  Mahesh Annasaheb,et al.  GALDS : A Complete Framework for Designing Multiclock ASICs and SoCs , 2007 .

[31]  R. J. Beynon,et al.  Computers , 1985, Comput. Appl. Biosci..

[32]  Mark R. Greenstreet,et al.  An event spacing experiment , 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.

[33]  Jens Sparsø,et al.  Asynchronous circuit design - A tutorial , 2001 .

[34]  Peter Y. K. Cheung,et al.  Asynchronous wrapper for heterogeneous systems , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

[35]  Mark R. Greenstreet,et al.  Efficient self-timed interfaces for crossing clock domains , 2003, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings..

[36]  Simon W. Moore,et al.  Demystifying Data-Driven and Pausible Clocking Schemes , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).

[37]  David G. Messerschmitt,et al.  Synchronization in Digital System Design , 1990, IEEE J. Sel. Areas Commun..

[38]  Gordon Russell,et al.  Measuring deep metastability , 2006, 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06).