A 32 Gb/s, 4.7 pJ/bit Optical Link With −11.7 dBm Sensitivity in 14-nm FinFET CMOS

This paper presents a 32 Gb/s non-return-to-zero optical link using 850-nm vertical-cavity surface-emitting laser-based multi-mode optics with 14-nm bulk FinFET CMOS circuits. The target application is the integration of optics on to the first-level package, connecting high-speed optical I/O directly to an advanced CMOS host chip (e.g., processor and switch) to increase package I/O bandwidth density and lower overall system power and cost. The optical link is designed for maximum link margin to tolerate high optical losses created by low-cost optical packaging. The transmitter (TX) uses a three-tap, 1/2-unit-interval-spaced feed-forward equalizer to improve eye opening. The receiver (RX) uses a low-bandwidth, low-noise transimpedance amplifier and a speculative one-tap decision-feedback equalizer for high sensitivity. The TX and RX power efficiencies are 3.3 and 1.4 pJ/bit, respectively. The TX optical modulation amplitude (OMA) is 1.2 dBm, and the RX sensitivity is −11.7 dBm OMA at a bit error rate of 10−12 with PRBS31 data, providing 12.9-dB link margin.

[1]  Anthony Chan Carusone,et al.  Low-power CMOS receivers for short reach optical communication , 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).

[2]  Jri Lee,et al.  4×25 Gb/s Transceiver With Optical Front-end for 100 GbE System in 65 nm CMOS Technology , 2015, IEEE Journal of Solid-State Circuits.

[3]  Dan Li,et al.  A Low-Noise Design Technique for High-Speed CMOS Optical Receivers , 2014, IEEE Journal of Solid-State Circuits.

[4]  Alexander V. Rylyakov,et al.  A new ultra-high sensitivity, low-power optical receiver based on a decision-feedback equalizer , 2011, 2011 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference.

[5]  Eduard Säckinger,et al.  On the Noise Optimum of FET Broadband Transimpedance Amplifiers , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[6]  Mounir Meghelli,et al.  A 25 Gb/s burst-mode receiver for low latency photonic switch networks , 2015, 2015 Optical Fiber Communications Conference and Exhibition (OFC).

[7]  Azita Emami-Neyestanak,et al.  A 24-Gb/s Double-Sampling Receiver for Ultra-Low-Power Optical Communication , 2013, IEEE Journal of Solid-State Circuits.

[8]  Wei-Zen Chen,et al.  A 25 Gb/s 1.13 pJ/b −10.8 dBm Input Sensitivity Optical Receiver in 40 nm CMOS , 2017, IEEE Journal of Solid-State Circuits.

[9]  Azita Emami-Neyestanak,et al.  A Modelling and Nonlinear Equalization Technique for a 20 Gb/s 0.77 pJ/b VCSEL Transmitter in 32 nm SOI CMOS , 2016, IEEE Journal of Solid-State Circuits.

[10]  Anthony Chan Carusone,et al.  A 40-Gbps 0.5-pJ/bit VCSEL driver in 28nm CMOS with complex zero equalizer , 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).

[11]  B. Nauta,et al.  Wide-band CMOS low-noise amplifier exploiting thermal noise canceling , 2004, IEEE Journal of Solid-State Circuits.

[12]  Daniel M. Kuchta High capacity VCSEL-based links , 2017, 2017 Optical Fiber Communications Conference and Exhibition (OFC).

[13]  Anthony Chan Carusone,et al.  A 20 Gb/s CMOS Optical Receiver With Limited-Bandwidth Front End and Local Feedback IIR-DFE , 2016, IEEE J. Solid State Circuits.

[14]  Daniel Mahgerefteh,et al.  Techno-economic comparison of Silicon Photonics and multimode VCSELs , 2016, 2015 Optical Fiber Communications Conference and Exhibition (OFC).

[15]  Yusuf Leblebici,et al.  A 64-Gb/s 1.4-pJ/b NRZ Optical Receiver Data-Path in 14-nm CMOS FinFET , 2017, IEEE Journal of Solid-State Circuits.

[16]  C. L. Schow,et al.  Ultra-low-power 10 to 28.5 Gb/s CMOS-driven VCSEL-based optical links [Invited] , 2012, IEEE/OSA Journal of Optical Communications and Networking.

[17]  Sylvie Menezo,et al.  A 25 Gb/s 3D-Integrated CMOS/Silicon-Photonic Receiver for Low-Power High-Sensitivity Optical Communication , 2016, Journal of Lightwave Technology.

[18]  I. Lyubomirsky,et al.  VCSEL-Based Interconnects for Current and Future Data Centers , 2015, Journal of Lightwave Technology.

[19]  Azita Emami-Neyestanak,et al.  A Wideband Injection Locked Quadrature Clock Generation and Distribution Technique for an Energy-Proportional 16–32 Gb/s Optical Receiver in 28 nm FDSOI CMOS , 2016, IEEE Journal of Solid-State Circuits.

[20]  D.J.A. Groeneveld Bandwidth extension and noise cancelling for TIAs : wwo new common source TIA implementations , 2010 .

[21]  Alexander V. Rylyakov,et al.  Exploring the limits of high-speed receivers for multimode VCSEL-based optical links , 2014, OFC 2014.

[22]  E. Sackinger,et al.  Broadband Circuits for Optical Fiber Communication , 2005 .

[23]  Pervez M. Aziz,et al.  A 28 Gb/s 560 mW Multi-Standard SerDes With Single-Stage Analog Front-End and 14-Tap Decision Feedback Equalizer in 28 nm CMOS , 2014, IEEE Journal of Solid-State Circuits.

[24]  Bo Zhang,et al.  A 28 Gb/s Multistandard Serial Link Transceiver for Backplane Applications in 28 nm CMOS , 2015, IEEE Journal of Solid-State Circuits.

[25]  Alexander V. Rylyakov,et al.  Optical receivers using DFE-IIR equalization , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[26]  Federico Bruccoleri,et al.  Wide-Band Low-Noise Amplifier Techniques in CMOS , 2003 .

[27]  Ronny Henker,et al.  Common cathode VCSEL driver in 90 nm CMOS enabling 25 Gbit/s optical connection using 14 Gbit/s 850 nm VCSEL , 2015 .

[28]  M. Shibata,et al.  A 26-Gb/s 1.80-pJ/b CMOS-driven transmitter for 850-nm common-cathode VCSELs , 2015, 2015 Optical Fiber Communications Conference and Exhibition (OFC).

[29]  Mounir Meghelli,et al.  A 32 Gb/s Backplane Transceiver With On-Chip AC-Coupling and Low Latency CDR in 32 nm SOI CMOS Technology , 2013, IEEE Journal of Solid-State Circuits.