Thermal-aware correlated two-level scheduling of real-time tasks with reduced processor energy on heterogeneous MPSoCs

Abstract With the exponential increase in power density and the relentless scaling of transistors in VLSI circuits over the past decades, modern high-performance processors fall into a predicament of high energy consumption and elevated chip temperature. Such increased energy consumption and chip temperature could induce significant economic, ecological, and technical problems. Thus, energy-efficient task scheduling with thermal consideration has become a pressing research issue in sustainable computing systems, especially for battery-powered real-time embedded systems with limited cooling techniques. This paper tackles the above challenge through scheduling tasks leveraging correlated optimizations at two different scales. Precisely, a two-level thermal-aware energy-efficient scheduling algorithm for real-time tasks on DVFS-enabled heterogeneous MPSoC systems is developed considering the constraints of task deadlines, task precedences, and chip peak temperature limit. At the processor level, a multi-processor model supporting dynamic voltage/frequency scaling is transformed to a virtual multi-processor model supporting only one fixed frequency level. At the core level, real-time tasks are assigned to individual cores of the virtual processor under the constraints of task precedence and peak temperature limit. Through nicely interleaving optimizations at both levels, high quality task scheduling solutions can be computed efficiently. Extensive simulations of synthetic real-time tasks and real-life benchmarks are performed to validate the proposed algorithm. Experimental results demonstrate the effectiveness of the proposed algorithm as compared to the benchmarking schemes.

[1]  Li Shang,et al.  Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[2]  Peter Norvig,et al.  Artificial Intelligence: A Modern Approach , 1995 .

[3]  Neil Weste,et al.  Principles of CMOS VLSI Design , 1985 .

[4]  Mahmut T. Kandemir,et al.  Thermal-aware task allocation and scheduling for embedded systems , 2005, Design, Automation and Test in Europe.

[5]  Jie Wu,et al.  Minimizing Energy Consumption for Frame-Based Tasks on Heterogeneous Multiprocessor Platforms , 2015, IEEE Transactions on Parallel and Distributed Systems.

[6]  Albert Y. Zomaya,et al.  Minimizing Energy Consumption for Precedence-Constrained Applications Using Dynamic Voltage Scaling , 2009, 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid.

[7]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[8]  Dakai Zhu,et al.  On Maximizing Reliability of Real-Time Embedded Applications Under Hard Energy Constraint , 2010, IEEE Transactions on Industrial Informatics.

[9]  Narayanan Vijaykrishnan,et al.  Variation-aware task allocation and scheduling for MPSoC , 2007, ICCAD 2007.

[10]  Jan Kuper,et al.  On the Interplay between Global DVFS and Scheduling Tasks with Precedence Constraints , 2015, IEEE Transactions on Computers.

[11]  Rami G. Melhem,et al.  Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems , 2003, IEEE Trans. Parallel Distributed Syst..

[12]  Tei-Wei Kuo,et al.  Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems , 2006, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06).

[13]  Giuseppe Lipari,et al.  Energy-efficient scheduling for moldable real-time tasks on heterogeneous computing platforms , 2017, J. Syst. Archit..

[14]  Keqin Li,et al.  Scheduling Precedence Constrained Tasks with Reduced Processor Energy on Multiprocessor Computers , 2012, IEEE Transactions on Computers.

[15]  Kent W. Nixon Energy-Adaptive Scheduling of Imprecise Computation Tasks for QoS Optimization in Real-Time MPSoC Systems , 2017 .

[16]  Tongquan Wei,et al.  Peak Temperature Minimization via Task Allocation and Splitting for Heterogeneous MPSoC Real-Time Systems , 2016, J. Signal Process. Syst..

[17]  Tulika Mitra,et al.  Temperature aware task sequencing and voltage scaling , 2008, ICCAD 2008.

[18]  Gang Quan,et al.  Feasibility Analysis for Temperature-Constraint Hard Real-Time Periodic Tasks , 2010, IEEE Transactions on Industrial Informatics.

[19]  Junlong Zhou,et al.  Thermal-Aware Task Scheduling for Energy Minimization in Heterogeneous Real-Time MPSoC Systems , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Christine Julien,et al.  Real-Time Simulation Support for Runtime Verification of Cyber-Physical Systems , 2017, ACM Trans. Embed. Comput. Syst..

[21]  Jitender S. Deogun,et al.  Thermal-Constrained Energy-Aware Partitioning for Heterogeneous Multi-core Multiprocessor Real-Time Systems , 2012, 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

[22]  Tongquan Wei,et al.  Balancing lifetime and soft-error reliability to improve system availability , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

[23]  Kenli Li,et al.  Minimizing Cost of Scheduling Tasks on Heterogeneous Multicore Embedded Systems , 2016, ACM Trans. Embed. Comput. Syst..

[24]  Kenli Li,et al.  Energy-Aware Data Allocation and Task Scheduling on Heterogeneous Multiprocessor Systems With Time Constraints , 2014, IEEE Transactions on Emerging Topics in Computing.

[25]  Tongquan Wei,et al.  Stochastic thermal-aware real-time task scheduling with considerations of soft errors , 2015, J. Syst. Softw..

[26]  Kenli Li,et al.  Bi-objective workflow scheduling of the energy consumption and reliability in heterogeneous computing systems , 2017, Inf. Sci..

[27]  Lei He,et al.  Temperature and supply Voltage aware performance and power modeling at microarchitecture level , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Rami G. Melhem,et al.  Scheduling with dynamic voltage/speed adjustment using slack reclamation in multi-processor real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[29]  Mingsong Chen,et al.  Statistical Model Checking-Based Evaluation and Optimization for Cloud Workflow Resource Allocation , 2020, IEEE Transactions on Cloud Computing.

[30]  Pradip Bose,et al.  Exploiting structural duplication for lifetime reliability enhancement , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[31]  Kenli Li,et al.  Energy-Efficient Stochastic Task Scheduling on Heterogeneous Computing Systems , 2014, IEEE Transactions on Parallel and Distributed Systems.

[32]  Stephen A. Jarvis,et al.  Developing Graph-Based Co-Scheduling Algorithms on Multicore Computers , 2016, IEEE Transactions on Parallel and Distributed Systems.

[33]  Jitender S. Deogun,et al.  A novel thermal-constrained energy-aware partitioning algorithm for heterogeneous multiprocessor real-time systems , 2014, 2014 IEEE 33rd International Performance Computing and Communications Conference (IPCCC).

[34]  Ragunathan Rajkumar,et al.  Energy-efficient allocation of real-time applications onto Heterogeneous Processors , 2014, 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications.

[35]  Norman P. Jouppi,et al.  Core architecture optimization for heterogeneous chip multiprocessors , 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).