Automated trace signals selection using the RTL descriptions
暂无分享,去创建一个
[1] Ehab Anis Daoud,et al. Real-Time Lossless Compression for Silicon Debug , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Huawei Li,et al. Automatic Selection of Internal Observation Signals for Design Verification , 2009, 2009 27th IEEE VLSI Test Symposium.
[3] Peter Dahlgren,et al. Latch divergency in microprocessor failure analysis , 2003, International Test Conference, 2003. Proceedings. ITC 2003..
[4] Nicola Nicolici,et al. Algorithms for State Restoration and Trace-Signal Selection for Data Acquisition in Silicon Debug , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[5] Giovanni Squillero,et al. RT-Level ITC'99 Benchmarks and First ATPG Results , 2000, IEEE Des. Test Comput..
[6] Gérard Memmi,et al. A reconfigurable design-for-debug infrastructure for SoCs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[7] Nicola Nicolici,et al. On using lossless compression of debug data in embedded logic analysis , 2007, 2007 IEEE International Test Conference.
[8] Miodrag Potkonjak,et al. Synthesizing designs with low-cardinality minimum feedback vertex set for partial scan application , 1994, Proceedings of IEEE VLSI Test Symposium.
[9] Sandeep Kumar Goel,et al. Design for debug: catching design errors in digital chips , 2002, IEEE Design & Test of Computers.
[10] Qiang Xu,et al. Trace signal selection for visibility enhancement in post-silicon validation , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[11] Harry Siebert,et al. Boosting Debugging Support for Complex Systems on Chip , 2007, Computer.
[12] Zeljko Zilic,et al. Debug enhancements in assertion-checker generation , 2007, IET Comput. Digit. Tech..
[13] Subhasish Mitra,et al. IFRA: Instruction Footprint Recording and Analysis for post-silicon bug localization in processors , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[14] Nicola Nicolici,et al. Resource-Efficient Programmable Trigger Units for Post-Silicon Validation , 2009, 2009 14th IEEE European Test Symposium.
[15] John P. Hayes,et al. High-level test generation using physically-induced faults , 1995, Proceedings 13th IEEE VLSI Test Symposium.
[16] R. Leatherman,et al. An embedding debugging architecture for SOCs , 2005, IEEE Potentials.
[17] Jose L Nunez-Yanez,et al. Gigabyte per second streaming lossless data compression hardware based on a configurable variable-geometry CAM dictionary , 2006 .
[18] Martin Burtscher,et al. The VPC trace-compression algorithms , 2005, IEEE Transactions on Computers.
[19] Nicola Nicolici,et al. Low Cost Debug Architecture using Lossy Compression for Silicon Debug , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[20] Nicola Nicolici,et al. Distributed Embedded Logic Analysis for Post-Silicon Validation of SOCs , 2008, 2008 IEEE International Test Conference.
[21] Bart Vermeulen,et al. Silicon debug: scan chains alone are not enough , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).
[22] Nicola Nicolici,et al. Automated Trace Signals Identification and State Restoration for Improving Observability in Post-Silicon Validation , 2008, 2008 Design, Automation and Test in Europe.
[23] Janak H. Patel,et al. High-level variable selection for partial-scan implementation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[24] Nicola Nicolici,et al. Combining scan and trace buffers for enhancing real-time observability in post-silicon debugging , 2010, 2010 15th IEEE European Test Symposium.
[25] Janak H. Patel,et al. Impact of high level functional constraints on testability , 1993, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium.
[26] William K. Lam. Hardware Design Verification: Simulation and Formal Method-Based Approaches (Prentice Hall Modern Semiconductor Design Series) , 2005 .
[27] Kenneth M. Butler,et al. Facilitating rapid first silicon debug , 2002, Proceedings. International Test Conference.
[28] Ismet Bayraktaroglu,et al. Microprocessor silicon debug based on failure propagation tracing , 2005, IEEE International Conference on Test, 2005..
[29] Mack W. Riley,et al. Cell Broadband Engine Debugging for Unknown Events , 2007, IEEE Design & Test of Computers.
[30] Nur A. Touba,et al. Automated Selection of Signals to Observe for Efficient Silicon Debug , 2009, 2009 27th IEEE VLSI Test Symposium.
[31] Jacob A. Abraham,et al. Delay fault testing and silicon debug using scan chains , 2004, Proceedings. Ninth IEEE European Test Symposium, 2004. ETS 2004..
[32] Donal Heffernan,et al. Emerging on-ship debugging techniques for real-time embedded systems , 2000 .
[33] Xinli Gu,et al. Re-using DFT logic for functional and silicon debugging test , 2002, Proceedings. International Test Conference.
[34] Alan J. Hu,et al. BackSpace: Formal Analysis for Post-Silicon Debug , 2008, 2008 Formal Methods in Computer-Aided Design.
[35] Rodham E. Tulloss,et al. The Test Access Port and Boundary Scan Architecture , 1990 .