GPUOPT: Power-efficient Photonic Network-on-Chip for a Scalable GPU
暂无分享,去创建一个
[1] Jason Sanders,et al. CUDA by example: an introduction to general purpose GPU programming , 2010 .
[2] Smruti R. Sarangi,et al. Predict, Share, and Recycle Your Way to Low-power Nanophotonic Networks , 2019, ACM J. Emerg. Technol. Comput. Syst..
[3] ChenGuoqing,et al. Predictions of CMOS compatible on-chip optical interconnect , 2007 .
[4] Li Zhou,et al. PROBE: Prediction-based optical bandwidth scaling for energy-efficient NoCs , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).
[5] Erik Lindholm,et al. NVIDIA Tesla: A Unified Graphics and Computing Architecture , 2008, IEEE Micro.
[6] Michal Lipson,et al. Low loss etchless silicon photonic waveguides , 2009 .
[7] David R. Kaeli,et al. Leveraging Silicon-Photonic NoC for Designing Scalable GPUs , 2015, ICS.
[8] MutluOnur,et al. A case for bufferless routing in on-chip networks , 2009 .
[9] A. Enard,et al. High-Power, Low RIN 1.55-$\mu{\rm m}$ Directly Modulated DFB Lasers for Analog Signal Transmission , 2012, IEEE Photonics Technology Letters.
[10] Ümit Y. Ogras,et al. Analytical Performance Models for NoCs with Multiple Priority Traffic Classes , 2019, ACM Trans. Embed. Comput. Syst..
[11] J. Michel,et al. Ge-on-Si laser operating at room temperature. , 2010, Optics letters.
[12] David R. Kaeli,et al. Asymmetric NoC Architectures for GPU Systems , 2015, NOCS.
[13] Gu-Yeon Wei,et al. Exploring the design space of power-aware opto-electronic networked systems , 2005, 11th International Symposium on High-Performance Computer Architecture.
[14] Qianfan Xu,et al. 12.5 Gbit/s silicon micro-ring silicon modulators , 2007, 2007 Conference on Lasers and Electro-Optics (CLEO).
[15] High-performance silicon-based multiple wavelength source , 2011, CLEO: 2011 - Laser Science to Photonic Applications.
[16] M. Humphrey. Calculation of coupling between tapered fiber modes and whispering-gallery modes of a spherical microlaser , 2004 .
[17] Amir Kavyan Ziabari. Improving the global memory efficiency in GPU-based systems , 2016 .
[18] Smruti R. Sarangi,et al. BigBus: A Scalable Optical Interconnect , 2019, ACM J. Emerg. Technol. Comput. Syst..
[19] Stephen C. Graves,et al. Little's Law , 2008 .
[20] Qianfan Xu,et al. 12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.
[21] Smruti R. Sarangi,et al. A Survey of On-Chip Optical Interconnects , 2019, ACM Comput. Surv..
[22] Ha Pham,et al. A 40nm 16-core 128-thread CMT SPARC SoC processor , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[23] R. Michalzik. VCSELs: Fundamentals, Technology and Applications of Vertical-Cavity Surface-Emitting Lasers , 2012 .
[24] A. Enard,et al. High-Power Tunable Dilute Mode DFB Laser With Low RIN and Narrow Linewidth , 2013, IEEE Photonics Technology Letters.
[25] Wei Zhang,et al. Crosstalk noise and bit error rate analysis for optical network-on-chip , 2010, Design Automation Conference.
[26] Koji Yamada,et al. LSI On-Chip Optical Interconnection with Si Nano-Photonics , 2008, IEICE Trans. Electron..
[27] Christopher Batten,et al. Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[28] Max Grossman,et al. Professional CUDA C Programming , 2014 .
[29] Carl Ramey,et al. TILE-Gx100 ManyCore processor: Acceleration interfaces and architecture , 2011, 2011 IEEE Hot Chips 23 Symposium (HCS).
[30] Tao Li,et al. Exploring Silicon Nanophotonics in Throughput Architecture , 2014, IEEE Design & Test.
[31] Sudhakar Yalamanchili,et al. Design space exploration of on-chip ring interconnection for a CPU-GPU heterogeneous architecture , 2013, J. Parallel Distributed Comput..
[32] William J. Dally,et al. The GPU Computing Era , 2010, IEEE Micro.
[33] Kevin Skadron,et al. Rodinia: A benchmark suite for heterogeneous computing , 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).
[34] Xiaowen Wu,et al. Coherent crosstalk noise analyses in ring-based optical interconnects , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[35] S. Sarangi,et al. SpliESR: Tunable Power Splitter Based on an Electro-Optic Slotted Ring Resonator , 2019, Optics Communications.
[36] M. Romagnoli,et al. An electrically pumped germanium laser. , 2012, Optics express.
[37] J. Michel,et al. High-performance Ge-on-Si photodetectors , 2010 .
[38] John Kim,et al. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[39] Andrew B. Kahng,et al. ORION 2.0: A Power-Area Simulator for Interconnection Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[40] A. Enard,et al. High Optical Power, High Gain and High Dynamic Range Directly Modulated Optical Link , 2013, Journal of Lightwave Technology.