Improved timing closure by early buffer planning in floor-placement design flow

Buffer insertion plays an increasingly critical role on circuit performance and signal integrity especially in deep submicron technologies. Buffer insertion stage is very important for buffering efficiency. Early buffer insertion may cause misestimating due to unknown cell locations whereas buffer insertion after placement may not be very effective because the cell locations are fixed and buffer resources may be distributed inappropriately.In this paper, a buffer planning algorithm for floor-placement design flow is presented which creates a map of buffer requirements in various regions of the design at the floorplanning stage based on the statistical distribution of critical paths and enforces the placer to distribute white spaces with respect to the estimated buffer requirement map.Experimental results show that the proposed method improves the performance of experimented circuits with smaller number of buffers and better power consumption compare to conventional methods. Furthermore, power-delay product has been improved considerably, especially for large circuits with a small growth in CPU time.

[1]  Andrew B. Kahng,et al.  Guest Editors' Introduction: RTL to GDSII - From Foilware to Standard Practice , 2004, IEEE Des. Test Comput..

[2]  Cheng-Kok Koh,et al.  Routability-driven repeater block planning for interconnect-centric floorplanning , 2000, ISPD '00.

[3]  Feng Zhou,et al.  Refined single trunk tree: a rectilinear steiner tree generator for interconnect prediction , 2002, SLIP '02.

[4]  Andrew B. Kahng,et al.  Provably good global buffering using an available buffer block plan , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[5]  Yao-Wen Chang,et al.  Integrating buffer planning with floorplanning for simultaneous multi-objective optimization , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[6]  Jarrod A. Roy,et al.  Min-cut floorplacement , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Jun Gu,et al.  Buffer planning as an Integral part of floorplanning with consideration of routing congestion , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Morteza Saheb Zamani,et al.  Multi-level buffer block planning and buffer insertion for large design circuits , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

[9]  Martin D. F. Wong,et al.  Planning buffer locations by network flows , 2000, ISPD '00.

[10]  Jason Cong,et al.  Buffer block planning for interconnect-driven floorplanning , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[11]  Morteza Saheb Zamani,et al.  Prediction and reduction of routing congestion , 2006, ISPD '06.

[12]  N. P. van der Meijs,et al.  Statistically aware buffer planning , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[13]  Sachin S. Sapatnekar,et al.  A practical methodology for early buffer and wire resource allocation , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[14]  Evangeline F. Y. Young,et al.  Congestion estimation with buffer planning in floorplan design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[15]  Jason Cong,et al.  Challenges and Opportunities for Design Innovations in Nanometer Technologies , 1998 .