Dynamic voltage and frequency scaling for shared resources in multicore processor designs

As the core count in processor chips grows, so do the on-die, shared resources such as on-chip communication fabric and shared cache, which are of paramount importance for chip performance and power. This paper presents a method for dynamic voltage/frequency scaling of networks-on-chip and last level caches in multicore processor designs, where the shared resources form a single voltage/frequency domain. Several new techniques for monitoring and control are developed, and validated through full system simulations on the PARSEC benchmarks. These techniques reduce energy-delay product by 56% compared to a state-of-the-art prior work.

[1]  Hannu Tenhunen,et al.  Autonomous DVFS on Supply Islands for Energy-Constrained NoC Communication , 2009, ARCS.

[2]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[3]  Sharad Malik,et al.  Power-driven Design of Router Microarchitectures in On-chip Networks , 2003, MICRO.

[4]  Andrew B. Kahng,et al.  ORION 2.0: A Power-Area Simulator for Interconnection Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  S. Kirolos,et al.  Adaptive SRAM design for dynamic voltage scaling VLSI systems , 2007, 2007 50th Midwest Symposium on Circuits and Systems.

[6]  Anant Agarwal,et al.  Energy Scalability of On-Chip Interconnection Networks in Multicore Architectures , 2008 .

[7]  Norman P. Jouppi,et al.  CACTI 6.0: A Tool to Model Large Caches , 2009 .

[8]  Radu Marculescu,et al.  Variation-adaptive feedback control for networks-on-chip with multiple clock domains , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[9]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[10]  Chita R. Das,et al.  A case for dynamic frequency tuning in on-chip networks , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[11]  Axel Jantsch,et al.  Adaptive Power Management for the On-Chip Communication Network , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).

[12]  Xi Chen,et al.  In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[13]  Xi Chen,et al.  In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[14]  Li Shang,et al.  Power-efficient Interconnection Networks: Dynamic Voltage Scaling with Links , 2002, IEEE Computer Architecture Letters.

[15]  Siamak Mohammadi,et al.  Low-energy GALS NoC with FIFO - Monitoring dynamic voltage scaling , 2011, Microelectron. J..

[16]  David Blaauw,et al.  Drowsy caches: simple techniques for reducing leakage power , 2002, ISCA.

[17]  Rajesh Kumar,et al.  A family of 45nm IA processors , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[18]  Mahmut T. Kandemir,et al.  Integrated link/CPU voltage scaling for reducing energy consumption of parallel sparse matrix applications , 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.

[19]  Radu Marculescu,et al.  An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.