Challenges and Circuit Techniques for Energy-Efficient On-Chip Nonvolatile Memory Using Memristive Devices
暂无分享,去创建一个
Meng-Fan Chang | Albert Lee | Ya-Chin King | Shyh-Shyuan Sheu | Tzu-Kun Ku | Chrong Jung Lin | Pin-Cheng Chen
[1] S. Koveshnikov,et al. Real-time study of switching kinetics in integrated 1T/ HfOx 1R RRAM: Intrinsic tunability of set/reset voltage and trade-off with switching time , 2012, 2012 International Electron Devices Meeting.
[2] Kyoung-Rok Cho,et al. Memristor MOS Content Addressable Memory (MCAM): Hybrid Architecture for Future High Performance Search Engines , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] Doris Schmitt-Landsiedel,et al. Bitline-capacitance-cancelation sensing scheme with 11ns read latency and maximum read throughput of 2.9GB/s in 65nm embedded flash for automotive , 2012, 2012 IEEE International Solid-State Circuits Conference.
[4] Guido Groeseneken,et al. High-drive current (>1MA/cm2) and highly nonlinear (>103) TiN/amorphous-Silicon/TiN scalable bidirectional selector with excellent reliability and its variability impact on the 1S1R array performance , 2014, 2014 IEEE International Electron Devices Meeting.
[5] J. Paramesh,et al. A non-volatile look-up table design using PCM (phase-change memory) cells , 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.
[6] Yoichi Yano. Take the expressway to go greener , 2012, 2012 IEEE International Solid-State Circuits Conference.
[7] Meng-Fan Chang,et al. ReRAM-based 4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speed-wordlength-capacity for normally-off instant-on filter-based search engines used in big-data processing , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.
[8] Marcus Herzog,et al. An 82μA/MHz microcontroller with embedded FeRAM for energy-harvesting applications , 2011, 2011 IEEE International Solid-State Circuits Conference.
[9] H. Hidaka,et al. Value creation in SOC/MCU applications by embedded non-volatile memory evolutions , 2007, 2007 IEEE Asian Solid-State Circuits Conference.
[10] Meng-Fan Chang,et al. Challenges at circuit designs for resistive-type Nonvolatile memory and nonvolatile logics in mobile and cloud applications , 2014, 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).
[11] Taejoong Song,et al. A 14 nm FinFET 128 Mb SRAM With V $_{\rm MIN}$ Enhancement Techniques for Low-Power Applications , 2015, IEEE Journal of Solid-State Circuits.
[12] A. Sebastian,et al. Reliable MLC data storage and retention in phase-change memory after endurance cycling , 2013, 2013 5th IEEE International Memory Workshop.
[13] Heng-Yuan Lee,et al. Comprehensively study of read disturb immunity and optimal read scheme for high speed HfOx based RRAM with a Ti layer , 2010, Proceedings of 2010 International Symposium on VLSI Technology, System and Application.
[14] Meng-Fan Chang,et al. A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive RAM (ReRAM) Macro Using Process-Variation-Tolerant Current-Mode Read Schemes , 2013, IEEE Journal of Solid-State Circuits.
[15] X. Li,et al. Highly compact 1T-1R architecture (4F2 footprint) involving fully CMOS compatible vertical GAA nano-pillar transistors and oxide-based RRAM cells exhibiting excellent NVM properties and ultra-low power operation , 2012, 2012 International Electron Devices Meeting.
[16] D. Ielmini,et al. Complementary switching in metal oxides: Toward diode-less crossbar RRAMs , 2011, 2011 International Electron Devices Meeting.
[17] M. Tada,et al. A new approach for improving operating margin of unipolar ReRAM using local minimu m of reset voltage , 2010, 2010 Symposium on VLSI Technology.
[18] D. Ielmini,et al. Statistics of set transition in phase change memory (PCM) arrays , 2014, 2014 IEEE International Electron Devices Meeting.
[19] Meng-Fan Chang,et al. A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications , 2010, 2010 Symposium on VLSI Circuits.
[20] Bo Zhao,et al. A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).
[21] Meng-Fan Chang,et al. Area-Efficient Embedded Resistive RAM (ReRAM) Macros Using Logic-Process Vertical-Parasitic-BJT (VPBJT) Switches and Read-Disturb-Free Temperature-Aware Current-Mode Read Scheme , 2014, IEEE Journal of Solid-State Circuits.
[22] Meng-Fan Chang,et al. 19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[23] Meng-Fan Chang,et al. Circuit design challenges in embedded memory and resistive RAM (RRAM) for mobile SoC and 3D-IC , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[24] Taejoong Song,et al. 13.2 A 14nm FinFET 128Mb 6T SRAM with VMIN-enhancement techniques for low-power applications , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[25] F. Pellizzer,et al. Optimization metrics for Phase Change Memory (PCM) cell architectures , 2014, 2014 IEEE International Electron Devices Meeting.
[26] Makoto Kitagawa,et al. A 4Mb conductive-bridge resistive memory with 2.3GB/s read-throughput and 216MB/s program-throughput , 2011, 2011 IEEE International Solid-State Circuits Conference.
[27] Swaroop Ghosh,et al. A 1 Gb 2 GHz 128 GB/s Bandwidth Embedded DRAM in 22 nm Tri-Gate CMOS Technology , 2015, IEEE Journal of Solid-State Circuits.
[28] Meng-Fan Chang,et al. Endurance-aware circuit designs of nonvolatile logic and nonvolatile sram using resistive memory (memristor) device , 2012, 17th Asia and South Pacific Design Automation Conference.
[29] K. Tsunoda,et al. Highly manufacturable multi-level perpendicular MTJ with a single top-pinned layer and multiple barrier/free layers , 2013, 2013 IEEE International Electron Devices Meeting.
[30] Yung-Hung Wang,et al. Impact of stray field on the switching properties of perpendicular MTJ for scaled MRAM , 2012, 2012 International Electron Devices Meeting.
[31] Yoon-Hee Choi,et al. Three-Dimensional 128 Gb MLC Vertical nand Flash Memory With 24-WL Stacked Layers and 50 MB/s High-Speed Programming , 2014, IEEE Journal of Solid-State Circuits.
[32] N. Iguchi,et al. A fast and low-voltage Cu complementary-atom-switch 1Mb array with high-temperature retention , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.
[33] Hideto Hidaka. Evolution of embedded flash memory technology for MCU , 2011, 2011 IEEE International Conference on IC Design & Technology.
[34] Jing Li,et al. 1 Mb 0.41 µm² 2T-2R Cell Nonvolatile TCAM With Two-Bit Encoding and Clocked Self-Referenced Sensing , 2014, IEEE Journal of Solid-State Circuits.
[35] Huazhong Yang,et al. PaCC: A Parallel Compare and Compress Codec for Area Reduction in Nonvolatile Processors , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[36] Gang Chen,et al. A 0.13 µm 8 Mb Logic-Based Cu $_{\rm x}$Si $_{\rm y}$O ReRAM With Self-Adaptive Operation for Yield Enhancement and Power Reduction , 2013, IEEE Journal of Solid-State Circuits.
[37] Meng-Fan Chang,et al. Challenges and trends in low-power 3D die-stacked IC designs using RAM, memristor logic, and resistive memory (ReRAM) , 2011, 2011 9th IEEE International Conference on ASIC.
[38] Christos Papavassiliou,et al. Live demonstration: A versatile, low-cost platform for testing large ReRAM cross-bar arrays , 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).
[39] Daisuke Miyashita,et al. 19.3 66.3KIOPS-random-read 690MB/s-sequential-read universal Flash storage device controller with unified memory extension , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[40] S. Jo,et al. 3D-stackable crossbar resistive memory based on Field Assisted Superlinear Threshold (FAST) selector , 2014, 2014 IEEE International Electron Devices Meeting.
[41] Hyoung-Joo Kim,et al. A 3.2 Gbps/pin 8 Gbit 1.0 V LPDDR4 SDRAM With Integrated ECC Engine for Sub-1 V DRAM Core Operation , 2015, IEEE Journal of Solid-State Circuits.
[42] Meng-Fan Chang,et al. Read circuits for resistive memory (ReRAM) and memristor-based nonvolatile Logics , 2015, The 20th Asia and South Pacific Design Automation Conference.
[43] Hideto Hidaka,et al. 40nm embedded SG-MONOS flash macros for automotive with 160MHz random access for code and endurance over 10M cycles for data , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[44] Byung Joon Choi,et al. Engineering nonlinearity into memristors for passive crossbar applications , 2012 .
[45] Yukio Hayakawa,et al. An 8 Mb Multi-Layered Cross-Point ReRAM Macro With 443 MB/s Write Throughput , 2012, IEEE Journal of Solid-State Circuits.
[46] David Blaauw,et al. 13.8 A 32kb SRAM for error-free and error-tolerant applications with dynamic energy-quality management in 28nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[47] Meng-Fan Chang,et al. A Low-Voltage Bulk-Drain-Driven Read Scheme for Sub-0.5 V 4 Mb 65 nm Logic-Process Compatible Embedded Resistive RAM (ReRAM) Macro , 2013, IEEE Journal of Solid-State Circuits.
[48] Shoji Ikeda,et al. A 3.14 um2 4T-2MTJ-cell fully parallel TCAM based on nonvolatile logic-in-memory architecture , 2012, 2012 Symposium on VLSI Circuits (VLSIC).
[49] Y. J. Lee,et al. Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ node , 2011, 2011 International Electron Devices Meeting.
[50] Jonathan Chang,et al. A 16 nm 128 Mb SRAM in High- $\kappa$ Metal-Gate FinFET Technology With Write-Assist Circuitry for Low-VMIN Applications , 2014, IEEE Journal of Solid-State Circuits.
[51] N. Shimomura,et al. Impact of ultra low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU , 2012, 2012 International Electron Devices Meeting.
[52] M. Tsai,et al. Ultra high density 3D via RRAM in pure 28nm CMOS process , 2013, 2013 IEEE International Electron Devices Meeting.
[53] Miyashita Daisuke,et al. 66.3KIOPS-Random-Read 690MB/s-Sequential-Read Universal Flash Storage Device Controller with Unified Memory Extension , 2014 .
[54] Yusuke Shuto,et al. Nonvolatile SRAM (NV-SRAM) using functional MOSFET merged with resistive switching devices , 2009, 2009 IEEE Custom Integrated Circuits Conference.