Four Metrics to Evaluate Heterogeneous Multicores
暂无分享,去创建一个
Michael F. P. O'Boyle | Christophe Dubach | Erik Tomusk | M. O’Boyle | Christophe Dubach | Erik Tomusk
[1] Scott A. Mahlke,et al. Heterogeneous microarchitectures trump voltage scaling for low-power cores , 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).
[2] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[3] Serpil Sayin,et al. Measuring the quality of discrete representations of efficient sets in multiple objective mathematical programming , 2000, Math. Program..
[4] Steven Swanson,et al. Conservation cores: reducing the energy of mature computations , 2010, ASPLOS XV.
[5] Stijn Eyerman,et al. System-Level Performance Metrics for Multiprogram Workloads , 2008, IEEE Micro.
[6] Steven Swanson,et al. QSCORES: Trading dark silicon for scalable energy efficiency with quasi-specific cores , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[7] EeckhoutLieven,et al. Understanding fundamental design choices in single-ISA heterogeneous multicore architectures , 2013 .
[8] Ann Gordon-Ross,et al. Dynamic Scheduling for Reduced Energy in Configuration-Subsetted Heterogeneous Multicore Systems , 2014, 2014 12th IEEE International Conference on Embedded and Ubiquitous Computing.
[9] David J. Groggel,et al. Practical Nonparametric Statistics , 2000, Technometrics.
[10] Dean M. Tullsen,et al. Execution migration in a heterogeneous-ISA chip multiprocessor , 2012, ASPLOS XVII.
[11] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[12] Jörg Henkel,et al. System-level exploration for Pareto-optimal configurations in parameterized systems-on-a-chip , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[13] Victor V. Zyuban,et al. Optimization of high-performance superscalar architectures for energy efficiency , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
[14] Alain J. Martin,et al. ET 2 : a metric for time and energy efficiency of computation , 2002 .
[15] Massimo Alioto,et al. From energy-delay metrics to constraints on the design of digital circuits , 2012, Int. J. Circuit Theory Appl..
[16] Lieven Eeckhout,et al. Understanding fundamental design choices in single-ISA heterogeneous multicore architectures , 2013, TACO.
[17] Kalyanmoy Deb,et al. A fast and elitist multiobjective genetic algorithm: NSGA-II , 2002, IEEE Trans. Evol. Comput..
[18] A. Farhang-Mehr,et al. Diversity assessment of Pareto optimal solution sets: an entropy approach , 2002, Proceedings of the 2002 Congress on Evolutionary Computation. CEC'02 (Cat. No.02TH8600).
[19] Amit Kumar Singh,et al. Incorporating Energy and Throughput Awareness in Design Space Exploration and Run-Time Mapping for Heterogeneous MPSoCs , 2013, 2013 Euromicro Conference on Digital System Design.
[20] Michael O'Boyle,et al. Weak heterogeneity as a way of adapting multicores to real workloads , 2013, ADAPT '13.
[21] Luca P. Carloni,et al. Supervised design space exploration by compositional approximation of Pareto sets , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[22] Siddharth Garg,et al. HaDeS: Architectural synthesis for heterogeneous dark silicon chip multi-processors , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[23] Stijn Eyerman,et al. Multiprogram Throughput Metrics: A Systematic Approach , 2014, TACO.
[24] Benjamin C. Lee,et al. Strategies for anticipating risk in heterogeneous system design , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
[25] David M. Brooks,et al. Illustrative Design Space Studies with Microarchitectural Regression Models , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.
[26] Rami Melhem,et al. Power Aware Computing , 2002, Series in Computer Science.
[27] Pierre Michaud,et al. Demystifying multicore throughput metrics , 2013, IEEE Computer Architecture Letters.
[28] Eric Rotenberg,et al. A unified view of non-monotonic core selection and application steering in heterogeneous chip multiprocessors , 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.
[29] James E. Smith,et al. Automated design of application specific superscalar processors: an analytical approach , 2007, ISCA '07.
[30] R Core Team,et al. R: A language and environment for statistical computing. , 2014 .
[31] R. A. Groeneveld,et al. Practical Nonparametric Statistics (2nd ed). , 1981 .
[32] C. Borror. Practical Nonparametric Statistics, 3rd Ed. , 2001 .
[33] Lothar Thiele,et al. Multiobjective evolutionary algorithms: a comparative case study and the strength Pareto approach , 1999, IEEE Trans. Evol. Comput..
[34] Manoj Franklin,et al. Balancing thoughput and fairness in SMT processors , 2001, 2001 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS..
[35] Tong Li,et al. Operating system support for overlapping-ISA heterogeneous multi-core architectures , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[36] Michael F. P. O'Boyle,et al. A Predictive Model for Dynamic Microarchitectural Adaptivity Control , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[37] Norman P. Jouppi,et al. Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction , 2003, MICRO.
[38] Thomas M. Conte,et al. A Benchmark Characterization of the EEMBC Benchmark Suite , 2009, IEEE Micro.
[39] Richard W. Vuduc,et al. Improving the energy efficiency of Big Cores , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[40] Marios C. Papaefthymiou,et al. Computational sprinting on a hardware/software testbed , 2013, ASPLOS '13.
[41] David M. Brooks,et al. Accurate and efficient regression modeling for microarchitectural performance and power prediction , 2006, ASPLOS XII.
[42] Michael F. P. O'Boyle,et al. Measuring flexibility in single-ISA heterogeneous processors , 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).
[43] A. Snavely,et al. Symbiotic jobscheduling for a simultaneous mutlithreading processor , 2000, SIGP.
[44] John Paul Shen,et al. Mitigating Amdahl's law through EPI throttling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[45] Thomas D. Burd,et al. Design issues for Dynamic Voltage Scaling , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
[46] Rakesh Kumar,et al. Magellan: A Search and Machine Learning-based Framework for Fast Multi-core Design Space Exploration and Optimization , 2008, 2008 Design, Automation and Test in Europe.
[47] Massoud Pedram,et al. Dynamic voltage and frequency scaling under a precise energy model considering variable and fixed components of the system power dissipation , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[48] Norman P. Jouppi,et al. Core architecture optimization for heterogeneous chip multiprocessors , 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).