Bulk-Si photonics technology for DRAM interface [Invited]

We present photonics technology based on a bulk-Si substrate for cost-sensitive dynamic random-access memory (DRAM) optical interface application. We summarize the progress on passive and active photonic devices using a local-crystallized Si waveguide fabricated by solid phase epitaxy or laser-induced epitaxial growth on bulk-Si substrate. The process of integration of a photonic integrated circuit (IC) with an electronic IC is demonstrated using a 65 nm DRAM periphery process on 300 mm wafers to prove the possibility of seamless integration with various complementary metal-oxide-semiconductor devices. Using the bulk-Si photonic devices, we show the feasibility of high-speed multidrop interface: the Mach–Zehnder interferometer modulators and commercial photodetectors are used to demonstrate four-drop link operation at 10  Gb/s, and the transceiver chips with photonic die and electronic die work for the DDR3 DRAM interface at 1.6  Gb/s under a 1∶4 multidrop configuration.

[1]  R. Engelbrecht,et al.  DIGEST of TECHNICAL PAPERS , 1959 .

[2]  Michael O. Thompson,et al.  Phase transformation mechanisms involved in excimer laser crystallization of amorphous silicon films , 1993 .

[3]  A. Polman,et al.  ERBIUM IN CRYSTAL SILICON : SEGREGATION AND TRAPPING DURING SOLID PHASE EPITAXY OF AMORPHOUS SILICON , 1994 .

[4]  L. Liao,et al.  Optical transmission losses in polycrystalline silicon strip waveguides: Effects of waveguide dimensions, thermal treatment, hydrogen passivation, and wavelength , 2000 .

[5]  D.A.B. Miller,et al.  Rationale and challenges for optical interconnects to electronic chips , 2000, Proceedings of the IEEE.

[6]  R. Forster,et al.  Manchester encoding: opposing definitions resolved , 2000 .

[7]  Peter Gregorius,et al.  Cascading Techniques for a High-Speed Memory Interface , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[8]  Nahum Izhaky,et al.  High-speed optical modulation based on carrier depletion in a silicon waveguide. , 2007, Optics express.

[9]  Rajeev J Ram,et al.  Localized substrate removal technique enabling strong-confinement microphotonics in bulk Si CMOS processes , 2008, 2008 Conference on Lasers and Electro-Optics and 2008 Conference on Quantum Electronics and Laser Science.

[10]  Azita Emami-Neyestanak,et al.  A 90 nm CMOS 16 Gb/s Transceiver for Optical Interconnects , 2008, IEEE Journal of Solid-State Circuits.

[11]  Kirk W. Cameron,et al.  Memory MISER: Improving Main Memory Energy Efficiency in Servers , 2009, IEEE Transactions on Computers.

[12]  C. Svensson,et al.  Improvement Potential and Equalization Example for Multidrop DRAM Memory Buses , 2009, IEEE Transactions on Advanced Packaging.

[13]  S. G. Kim,et al.  Mach-Zehnder silicon modulator on bulk silicon substrate; toward DRAM optical interface , 2010, 7th IEEE International Conference on Group IV Photonics.

[14]  F. Xia,et al.  Reinventing germanium avalanche photodetector for nanophotonic on-chip optical interconnects , 2010, Nature.

[15]  J. K. Bok,et al.  Bulk silicon photonic wire for one-chip integrated optical interconnection , 2010, 7th IEEE International Conference on Group IV Photonics.

[16]  J. K. Bok,et al.  10Gb/s silicon modulator based on bulk-silicon platform for DRAM optical interface , 2011, 2011 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference.

[17]  S. G. Kim,et al.  Optical interface platform for DRAM integration , 2011, 2011 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference.

[18]  I. S. Joe,et al.  10 Gb/s, 1×4 optical link for DRAM interconnect , 2011, 8th IEEE International Conference on Group IV Photonics.

[19]  Raghu Ramakrishnan,et al.  CAP and Cloud Data Management , 2012, Computer.

[20]  Chilhee Chung,et al.  Si-based optical I/O for optical memory interface , 2012, Other Conferences.

[21]  Deog-Kyoon Jeong,et al.  4-Slot, 8-Drop Impedance-Matched Bidirectional Multidrop DQ Bus With a 4.8-Gb/s Memory Controller Transceiver , 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[22]  S. G. Kim,et al.  Integration of silicon photonics into DRAM process , 2013, 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC).

[23]  Dong-Jae Shin,et al.  FPGA-based DDR3 DRAM interface using bulk-Si optical interconnects , 2013, 10th International Conference on Group IV Photonics.

[24]  J. H. Kim,et al.  Integration of photonic circuits with electronics on bulk-Si platform , 2013, 10th International Conference on Group IV Photonics.

[25]  Yang Liu,et al.  The Road to Affordable, Large-Scale Silicon Photonics , 2013 .

[26]  JoongHan Shin,et al.  Epitaxial growth technology for optical interconnect based on bulk-Si platform , 2013, 10th International Conference on Group IV Photonics.

[27]  BOX-less waveguide Ge PD for bulk-Si based silicon photonic platform , 2014, OFC 2014.