Wire shaping of RLC interconnects
暂无分享,去创建一个
[1] James D. Meindl,et al. Time delay, crosstalk and repeater insertion models for high performance SoC's , 2002, 15th Annual IEEE International ASIC/SOC Conference.
[2] Eby G. Friedman. High Performance Clock Distribution Networks , 1997, J. VLSI Signal Process..
[3] Eby G. Friedman,et al. Optimum wire tapering for minimum power dissipation in RLC interconnects , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[4] James D. Meindl,et al. Compact distributed RLC interconnect models. I. Single line transient, time delay, and overshoot expressions , 2000 .
[5] Eby G. Friedman. Clock distribution networks in VLSI circuits and systems , 1995 .
[6] Charlie Chung-Ping Chen,et al. Optimal wire-sizing function with fringing capacitance consideration , 1997, DAC.
[7] Martin D. F. Wong,et al. Optimal shape function for a bi-directional wire under Elmore delay model , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[8] Yehea I. Ismail,et al. Effects of inductance on the propagation delay and repeater insertion in VLSI circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[9] E. Friedman,et al. Figures of merit to characterize the importance of on-chip inductance , 1998, DAC.
[10] Stephen P. Boyd,et al. Optimal wire and transistor sizing for circuits with non-tree topology , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[11] Mattan Kamon,et al. FastHenry: A Multipole-Accelerated 3-D Inductance Extraction Program , 1993, 30th ACM/IEEE Design Automation Conference.
[12] D. F. Wong,et al. Shaping a VLSI wire to minimize delay using transmission line model , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[13] Jaijeet Roychowdhury. Avoiding dispersion in distributed RLC lines by shaping , 1995, Proceedings of 1995 IEEE Multi-Chip Module Conference (MCMC-95).
[14] B. Krauter,et al. Including inductive effects in interconnect timing analysis , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).
[15] Martin D. F. Wong,et al. Wire-sizing for delay minimization and ringing control using transmission line model , 2000, DATE '00.
[16] John Philip Fishburn,et al. Shaping a distributed-rc line to minimize elmore delay , 1995 .
[17] Chris C. N. Chu,et al. A hybrid dynamic/quadratic programming algorithm for interconnect tree optimization , 2000, ISPD '00.
[18] Marc Belleville,et al. Inductance and capacitance analytic formulas for VLSI interconnects , 1996 .
[19] Martin D. F. Wong,et al. Wire-sizing optimization with inductance consideration using transmission-line model , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[20] Eby G. Friedman,et al. Shielding effect of on-chip interconnect inductance , 2003, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[21] E.G. Friedman,et al. Optimum wire shaping of an RLC interconnect , 2003, 2003 46th Midwest Symposium on Circuits and Systems.
[22] Yehea I. Ismail,et al. Exploiting the on-chip inductance in high-speed clock distribution networks , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[23] Jason Cong,et al. Optimal wiresizing under Elmore delay model , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[24] D. F. Wong,et al. Wire-sizing for delay minimization and ringing control using transmission line model , 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).
[25] Martin D. F. Wong,et al. A fast and accurate delay estimation method for buffered interconnects , 2001, ASP-DAC '01.
[26] J.D. Meindl,et al. Optimal interconnection circuits for VLSI , 1985, IEEE Transactions on Electron Devices.
[27] Martin D. F. Wong,et al. A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[28] Martin D. F. Wong,et al. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[29] Eby G. Friedman,et al. Repeater design to reduce delay and power in resistive interconnect , 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.
[30] S. Tam,et al. Clock generation and distribution for the first IA-64 microprocessor , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[31] A. Kahng,et al. A new approach to simultaneous buffer insertion and wire sizing , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[32] Martin D. F. Wong,et al. Greedy wire-sizing is linear time , 1998, ISPD '98.
[33] Eby G. Friedman,et al. Power characteristics of inductive interconnect , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[34] Chris C. N. Chu,et al. Hybrid dynamic/quadratic programming algorithm for interconnecttree optimization , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[35] Martin D. F. Wong,et al. An efficient and optimal algorithm for simultaneous buffer and wire sizing , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[36] Ching-Te Chuang,et al. A 400 MHz S/390 microprocessor , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.
[37] Charlie Chung-Ping Chen,et al. Optimal wire-sizing formula under the Elmore delay model , 1996, DAC '96.
[38] Eby G. Friedman,et al. Optimum wire sizing of RLC interconnect with repeaters , 2003, GLSVLSI '03.
[39] Improved VLSI interconnect , 1999 .
[40] Eby G. Friedman,et al. Power Distribution Networks in High Speed Integrated Circuits , 2003 .
[41] Qing Zhu,et al. High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[42] D. F. Wong,et al. Closed form solutions to simultaneous buffer insertion/sizing and wire sizing , 2001, ACM Trans. Design Autom. Electr. Syst..
[43] Mattan Kamon,et al. FASTHENRY: a multipole-accelerated 3-D inductance extraction program , 1994 .
[44] Martin D. F. Wong,et al. Optimal shape function for a bi-directional wire under Elmore delay model , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[45] Martin D. F. Wong,et al. A polynomial time optimal algorithm for simultaneous buffer and wire sizing , 1998, Proceedings Design, Automation and Test in Europe.
[46] Eby G. Friedman,et al. Exponentially tapered H-tree clock distribution networks , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[47] Charles J. Alpert,et al. Interconnect synthesis without wire tapering , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[48] Martin D. F. Wong,et al. Closed form solution to simultaneous buffer insertion/sizing and wire sizing , 1997, ISPD '97.