Ultra-Low Power VLSI Circuit Design Demystified and Explained: A Tutorial

In this paper, the state of the art in ultra-low power (ULP) VLSI design is presented within a unitary framework for the first time. A few general principles are first introduced to gain an insight into the design issues and the approaches that are specific to ULP systems, as well as to better understand the challenges that have to be faced in the foreseeable future. Intuitive understanding is accompanied by rigorous analysis for each key concept. The analysis ranges from the circuit to the micro-architectural level, and reference is given to process, physical and system levels when necessary. Among the main goals of this paper, it is shown that many paradigms and approaches borrowed from traditional above-threshold low-power VLSI design are actually incorrect. Accordingly, common misconceptions in the ULP domain are debunked and replaced with technically sound explanations.

[1]  Massimo Alioto,et al.  Understanding the Effect of Process Variations on the Delay of Static and Domino Logic , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  David Blaauw,et al.  A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation , 2011, IEEE Journal of Solid-State Circuits.

[3]  Boris Murmann,et al.  Digitally Assisted Analog Integrated Circuits , 2004, ACM Queue.

[4]  A.P. Chandrakasan,et al.  Minimum Energy Tracking Loop With Embedded DC–DC Converter Enabling Ultra-Low-Voltage Operation Down to 250 mV in 65 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[5]  Mohammed Ismail,et al.  Radio Design in Nanometer Technologies , 2007 .

[6]  Takayasu Sakurai,et al.  Dependence of Minimum Operating Voltage (VDDmin) on Block Size of 90-nm CMOS Ring Oscillators and its Implications in Low Power DFM , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[7]  Mingoo Seok,et al.  Nanometer Device Scaling in Subthreshold Logic and SRAM , 2008, IEEE Transactions on Electron Devices.

[8]  Masanori Hashimoto,et al.  Adaptive performance compensation with in-situ timing error prediction for subthreshold circuits , 2009, 2009 IEEE Custom Integrated Circuits Conference.

[9]  Jason Liu,et al.  A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[10]  K.A. Bowman,et al.  Energy-Efficient and Metastability-Immune Resilient Circuits for Dynamic Variation Tolerance , 2009, IEEE Journal of Solid-State Circuits.

[11]  Massimo Alioto,et al.  From energy-delay metrics to constraints on the design of digital circuits , 2012, Int. J. Circuit Theory Appl..

[12]  R. M. Swanson,et al.  Ion-implanted complementary MOS transistors in low-voltage circuits , 1972 .

[13]  Kaushik Roy,et al.  Ultra-low power DLMS adaptive filter for hearing aid applications , 2001, ISLPED '01.

[14]  Sani R. Nassif,et al.  Design for Manufacturability and Statistical Design - A Constructive Approach , 2007, Series on integrated circuits and systems.

[15]  A.P. Chandrakasan,et al.  A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation , 2007, IEEE Journal of Solid-State Circuits.

[16]  Kaushik Roy,et al.  A feasibility study of subthreshold SRAM across technology generations , 2005, 2005 International Conference on Computer Design.

[17]  David M. Bull,et al.  RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance , 2009, IEEE Journal of Solid-State Circuits.

[18]  E. Nowak,et al.  Low-power CMOS at Vdd = 4kT/q , 2001, Device Research Conference. Conference Digest (Cat. No.01TH8561).

[19]  Masashi Horiguchi,et al.  Ultra-Low Voltage Nano-Scale Memories , 2007, Series on Integrated Circuits and Systems.

[20]  Yajun Ha,et al.  An Ultra-Low-Energy Multi-Standard JPEG Co-Processor in 65 nm CMOS With Sub/Near Threshold Supply Voltage , 2010, IEEE Journal of Solid-State Circuits.

[21]  David Blaauw,et al.  Ultra-low power circuit techniques for a new class of sub-mm3 sensor nodes , 2010, IEEE Custom Integrated Circuits Conference 2010.

[22]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[23]  Marcus Herzog,et al.  An 82μA/MHz microcontroller with embedded FeRAM for energy-harvesting applications , 2011, 2011 IEEE International Solid-State Circuits Conference.

[24]  Shohaib Aboobacker RAZOR: circuit-level correction of timing errors for low-power operation , 2011 .

[25]  David Blaauw,et al.  Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[26]  Seok-Jun Lee,et al.  Microwatt Embedded Processor Platform for Medical System-on-Chip Applications , 2011, IEEE Journal of Solid-State Circuits.

[27]  A. Chandrakasan,et al.  A 180-mV subthreshold FFT processor using a minimum energy design methodology , 2005, IEEE Journal of Solid-State Circuits.

[28]  Massimo Alioto,et al.  Improving Power-Delay Performance of Ultra-Low-Power Subthreshold SCL Circuits , 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

[29]  Sachin S. Sapatnekar,et al.  Subthreshold logical effort: a systematic framework for optimal subthreshold device sizing , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[30]  G. Ono,et al.  Threshold-voltage balance for minimum supply operation , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[31]  K. Takeda,et al.  A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[32]  Siegfried Selberherr,et al.  Ultra-low-power CMOS technologies , 1996, 1996 International Semiconductor Conference. 19th Edition. CAS'96 Proceedings.

[33]  B.F. Wollenberg,et al.  Toward a smart grid: power delivery for the 21st century , 2005, IEEE Power and Energy Magazine.

[34]  Rahul Sarpeshkar,et al.  Ultra Low Power Bioelectronics: Fundamentals, Biomedical Applications, and Bio-Inspired Systems , 2010 .

[35]  Stuart N. Wooters,et al.  A 2.6-µW sub-threshold mixed-signal ECG SoC , 2009, 2009 Symposium on VLSI Circuits.

[36]  Kaushik Roy,et al.  CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[37]  Bharadwaj S. Amrutur,et al.  A 100MHz to 1GHz, 0.35V to 1.5V Supply 256 x 64 SRAM Block Using Symmetrized 9T SRAM Cell with Controlled Read , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[38]  Massimo Alioto Impact of NMOS/PMOS imbalance in Ultra-Low Voltage CMOS standard cells , 2011, 2011 20th European Conference on Circuit Theory and Design (ECCTD).

[39]  Masahiro Nomura,et al.  A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications , 2006, IEEE Journal of Solid-State Circuits.

[40]  A.P. Chandrakasan,et al.  A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter , 2008, IEEE Journal of Solid-State Circuits.

[41]  Kaushik Roy,et al.  A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[42]  Masayuki Miyazaki,et al.  Threshold-voltage balance for minimum supply operation [LV CMOS chips] , 2003, IEEE J. Solid State Circuits.

[43]  S. Narendra,et al.  Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18-/spl mu/m CMOS , 2004, IEEE Journal of Solid-State Circuits.

[44]  A.P. Chandrakasan,et al.  A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[45]  K. Roy,et al.  A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.

[46]  Benton H. Calhoun,et al.  Asymmetric sizing in a 45nm 5T SRAM to improve read stability over 6T , 2009, 2009 IEEE Custom Integrated Circuits Conference.

[47]  David Blaauw,et al.  FFT Core with Super-Pipelining , 2011 .

[48]  R. Hegde,et al.  A voltage overscaled low-power digital filter IC , 2004, IEEE Journal of Solid-State Circuits.

[49]  Kiyofumi Tanaka,et al.  Leakage energy reduction in cache memory by data compression , 2007, CARN.

[50]  Massimo Alioto,et al.  Analysis and design of ultra-low power subthreshold MCML gates , 2009, 2009 IEEE International Symposium on Circuits and Systems.

[51]  Kaushik Roy,et al.  Compact models considering incomplete voltage swing in complementary metal oxide semiconductor circuits at ultralow voltages: A circuit perspective on limits of switching energy , 2009 .

[52]  Y. Tsividis Operation and modeling of the MOS transistor , 1987 .

[53]  Anantha Chandrakasan,et al.  Sub-threshold Design for Ultra Low-Power Systems , 2006, Series on Integrated Circuits and Systems.

[54]  Uming Ko,et al.  A 28 nm 0.6 V Low Power DSP for Mobile Applications , 2012, IEEE Journal of Solid-State Circuits.

[55]  A. Tajalli,et al.  Subthreshold Source-Coupled Logic Circuits for Ultra-Low-Power Applications , 2008, IEEE Journal of Solid-State Circuits.

[56]  B.C. Paul,et al.  Device optimization for digital subthreshold logic operation , 2005, IEEE Transactions on Electron Devices.

[57]  Massimo Alioto,et al.  A Simple and Accurate Model of Input capacitance for Power Estimation in CMOS logic , 2007, 2007 14th IEEE International Conference on Electronics, Circuits and Systems.

[58]  David Blaauw,et al.  Energy-Efficient Subthreshold Processor Design , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[59]  David Bol,et al.  Interests and Limitations of Technology Scaling for Subthreshold Logic , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[60]  Naresh R. Shanbhag,et al.  Soft digital signal processing , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[61]  Daeyeon Kim,et al.  The Phoenix Processor: A 30pW platform for sensor applications , 2008, 2008 IEEE Symposium on VLSI Circuits.

[62]  Kaushik Roy,et al.  Robust subthreshold logic for ultra-low power operation , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[63]  Robert Puers,et al.  Ultra Low Power Capacitive Sensor Interfaces , 2007 .

[64]  David Blaauw,et al.  Reducing pipeline energy demands with local DVS and dynamic retiming , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[65]  A.P. Chandrakasan,et al.  A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.

[66]  Eric A. Vittoz,et al.  Weak Inversion for Ultimate Low-Power Logic , 2004, Low-Power Electronics Design.

[67]  Bo Zhai,et al.  A Variation-Tolerant Sub-200 mV 6-T Subthreshold SRAM , 2008, IEEE Journal of Solid-State Circuits.

[68]  David Blaauw,et al.  Analysis and Optimization of Sleep Modes in Subthreshold Circuit Design , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[69]  John Keane,et al.  Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[70]  Gordon Bell Bell's Law for the Birth and Death of Computer Classes: A theory of the Computer's Evolution , 2008, IEEE Solid-State Circuits Newsletter.

[71]  Takayasu Sakurai,et al.  Dependence of Minimum Operating Voltage (V DDmin ) on Block Size of 90-nm CMOS Ring Oscillators and its Implications in Low Power DFM , 2008, ISQED 2008.

[72]  Mario Konijnenburg,et al.  A voltage-scalable biomedical signal processor running ECG using 13pJ/cycle at 1MHz and 0.4V , 2011, 2011 IEEE International Solid-State Circuits Conference.

[73]  David Bol,et al.  Robustness-aware sleep transistor engineering for power-gated nanometer subthreshold circuits , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[74]  Massimo Alioto,et al.  Circuit Techniques to Reduce the Supply Voltage Limit of Subthreshold MCML Circuits , 2008 .

[75]  David Blaauw,et al.  Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[76]  Takayasu Sakurai,et al.  Increasing minimum operating voltage (VDDmin) with number of CMOS logic gates and experimental verification with up to 1Mega-stage ring oscillators , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[77]  Nurul I Sarkar,et al.  Keynote speech I , 2011, TENCON 2011 - 2011 IEEE Region 10 Conference.

[78]  Gabor Karsai,et al.  Smart Dust: communicating with a cubic-millimeter computer , 2001 .

[79]  Elizabeth J. Brauer,et al.  Improving the power-delay product in SCL circuits using source follower output stage , 2008, 2008 IEEE International Symposium on Circuits and Systems.

[80]  Naveen Verma,et al.  Technologies for Ultradynamic Voltage Scaling , 2010, Proceedings of the IEEE.

[81]  David Blaauw,et al.  Optimal technology selection for minimizing energy and variability in low voltage applications , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[82]  Benton H. Calhoun,et al.  Device sizing for minimum energy operation in subthreshold circuits , 2004 .

[83]  Massimo Alioto,et al.  Understanding DC Behavior of Subthreshold CMOS Logic Through Closed-Form Analysis , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

[84]  Yajun Ha,et al.  Vt balancing and device sizing towards high yield of sub-threshold static logic gates , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[85]  Bo Zhai,et al.  Exploring Variability and Performance in a Sub-200-mV Processor , 2008, IEEE Journal of Solid-State Circuits.

[86]  Chenming Hu,et al.  MOSFET Modeling & BSIM3 User’s Guide , 1999 .

[87]  Siva G. Narendra,et al.  Leakage in Nanometer CMOS Technologies , 2010 .