Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms

State-of-the-art mobile platforms are powered by heterogeneous system-on-chips that integrate multiple CPU cores, a GPU, and many specialized processors. Competitive performance on these platforms comes at the expense of increased power density due to their small form factor. Consequently, the skin temperature, which can degrade the experience, becomes a limiting factor. Since using a fan is not a viable solution for hand-held devices, there is a strong need for dynamic thermal and power management (DTPM) algorithms that can regulate temperature with minimal performance impact. This paper presents a DTPM algorithm, which uses a practical temperature prediction methodology based on system identification. The proposed algorithm dynamically computes a power budget using the predicted temperature. This budget is used to throttle the frequency and number of cores to avoid temperature violations with minimal impact on the system performance. Our experimental measurements on two different octa-core big.LITTLE processors and common Android applications demonstrate that the proposed technique predicts the temperature with less than 5% error across all benchmarks. Using this prediction, the proposed DTPM algorithm successfully regulates the maximum temperature and decreases the temperature violations by one order of magnitude while also reducing the total power consumption on average by 7% compared with the default solution.

[1]  Sarma B. K. Vrudhula,et al.  Performance Optimal Online DVFS and Task Migration Techniques for Thermally Constrained Multi-Core Processors , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Radu Marculescu,et al.  Dynamic power management of voltage-frequency island partitioned Networks-on-Chip using Intel's Single-chip Cloud Computer , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[3]  Siddharth Garg,et al.  Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations , 2011, 2011 Design, Automation & Test in Europe.

[4]  Radu Marculescu,et al.  An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[5]  Li Shang,et al.  Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors , 2007, IEEE Micro.

[6]  Ümit Y. Ogras,et al.  Predictive dynamic thermal and power management for heterogeneous mobile platforms , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[7]  Tajana Simunic,et al.  Accurate Direct and Indirect On-Chip Temperature Sensing for Efficient Dynamic Thermal Management , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  George T. Gilber Positive definite matrices and Sylvester's criterion , 1991 .

[9]  Eun Jung Kim,et al.  Predictive dynamic thermal management for multicore systems , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[10]  Naehyuck Chang,et al.  Energy-Optimal Dynamic Thermal Management: Computation and Cooling Power Co-Optimization , 2010, IEEE Transactions on Industrial Informatics.

[11]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[12]  William J. Bowhill,et al.  Design of High-Performance Microprocessor Circuits , 2001 .

[13]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[14]  Smruti R. Sarangi,et al.  Processor power estimation techniques: a survey , 2014, Int. J. High Perform. Syst. Archit..

[15]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[16]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  Yusuf Leblebici,et al.  Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[18]  Yuan Taur,et al.  Fundamentals of Modern VLSI Devices , 1998 .

[19]  José Manuel Moya,et al.  Leakage-Aware Cooling Management for Improving Server Energy Efficiency , 2015, IEEE Transactions on Parallel and Distributed Systems.

[20]  Omer Khan,et al.  Hardware/software co-design architecture for thermal management of chip multiprocessors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[21]  Sherief Reda,et al.  Thermal prediction and adaptive control through workload phase detection , 2013, TODE.

[22]  Onur Sahin,et al.  Just enough is more: Achieving sustainable performance in mobile devices under thermal limitations , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[23]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[24]  Naehyuck Chang,et al.  Dynamic thermal management in mobile devices considering the thermal coupling between battery and application processor , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[25]  Saibal Mukhopadhyay,et al.  Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.

[26]  Umit Y. Ogras,et al.  Dynamic Power Budgeting for Mobile Systems Running Graphics Workloads , 2018, IEEE Transactions on Multi-Scale Computing Systems.

[27]  Mahmut T. Kandemir,et al.  The design and use of simplePower: a cycle-accurate energy estimation tool , 2000, Proceedings 37th Design Automation Conference.

[28]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, ISCA 2006.

[29]  Tajana Simunic,et al.  Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Tei-Wei Kuo,et al.  A user-centric CPU-GPU governing framework for 3D games on mobile devices , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[31]  Tajana Simunic,et al.  OS-level power minimization under tight performance constraints in general purpose systems , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[32]  Ümit Y. Ogras,et al.  Towards platform level power management in mobile systems , 2014, 2014 27th IEEE International System-on-Chip Conference (SOCC).

[33]  Lei He,et al.  Leakage power modeling and reduction with data retention , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[34]  Huazhong Yang,et al.  Accurate temperature-dependent integrated circuit leakage power estimation is easy , 2007 .

[35]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[36]  Tajana Simunic,et al.  Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor SoCs , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[37]  Jörg Henkel,et al.  Dynamic thermal management in 3D multi-core architecture through run-time adaptation , 2011, 2011 Design, Automation & Test in Europe.

[38]  Ümit Y. Ogras,et al.  A generic energy optimization framework for heterogeneous platforms using scaling models , 2016, Microprocess. Microsystems.

[39]  Dilip Krishnaswamy,et al.  PROMETHEUS: A Proactive Method for Thermal Management of Heterogeneous MPSoCs , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[40]  Muhammad Shafique,et al.  Improving mobile gaming performance through cooperative CPU-GPU thermal management , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[41]  Richard McGowen Adaptive designs for power and thermal optimization , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[42]  Jian Ma,et al.  Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors , 2016, ACM Trans. Design Autom. Electr. Syst..

[43]  Vanchinathan Venkataramani,et al.  Hierarchical power management for asymmetric multi-core in dark silicon era , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[44]  Sanjay Ranka,et al.  Efficient Task Partitioning and Scheduling for Thermal Management in Multicore Processors , 2015 .

[45]  Chaitali Chakrabarti,et al.  Energy-efficient dynamic task scheduling algorithms for DVS systems , 2008, TECS.

[46]  Ümit Y. Ogras,et al.  Power-Temperature Stability and Safety Analysis for Multiprocessor Systems , 2017, ACM Trans. Embed. Comput. Syst..