Network-on-Chip
暂无分享,去创建一个
[1] Ge-Ming Chiu,et al. Fault-Tolerant Routing Algorithm for Meshes without Using Virtual Channels , 1998, J. Inf. Sci. Eng..
[2] Partha Pratim Pande,et al. Addressing Signal Integrity in Networks on Chip Interconnects through Crosstalk-Aware Double Error Correction Coding , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).
[3] Partha Pratim Pande,et al. Energy Reduction through Crosstalk Avoidance Coding in NoC Paradigm , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).
[4] Ming Zhang,et al. Logic soft errors: a major barrier to robust platform design , 2005, IEEE International Conference on Test, 2005..
[5] Jian Wang,et al. Bandwidth-Aware Application Mapping for NoC-Based MPSoCs , 2011 .
[6] Li Su,et al. Hierarchical Cluster-Based Irregular Topology Customization for Networks-on-Chip , 2008, 2008 IEEE/IFIP International Conference on Embedded and Ubiquitous Computing.
[7] Ahmad Patooghy,et al. RMAP: A Reliability-Aware Application Mapping for Network-on-Chips , 2010, 2010 Third International Conference on Dependability.
[8] Sudeep Pasricha,et al. POSEIDON: A framework for application-specific Network-on-Chip synthesis for heterogeneous chip multiprocessors , 2011, 2011 12th International Symposium on Quality Electronic Design.
[9] Kiyoung Choi,et al. Interleaving partial bus-invert coding for low power reconfiguration of FPGAs , 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).
[10] Sadiq M. Sait,et al. VLSI Physical Design Automation - Theory and Practice , 1995, Lecture Notes Series on Computing.
[11] Naresh R. Shanbhag,et al. Sequential Element Design With Built-In Soft Error Resilience , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[12] Krishnan Srinivasan,et al. A technique for low energy mapping and routing in network-on-chip architectures , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[13] Karam S. Chatha,et al. Quality-of-service and error control techniques for mesh-based network-on-chip architectures , 2005, Integr..
[14] William J. Dally,et al. Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.
[15] Cary Gunn,et al. CMOS Photonics for High-Speed Interconnects , 2006, IEEE Micro.
[16] William J. Dally,et al. Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.
[17] Haidar Harmanani,et al. A method for efficient NoC test scheduling using deterministic routing , 2010, 23rd IEEE International SOC Conference.
[18] Armin Bender. MILP based task mapping for heterogeneous multiprocessor systems , 1996, Proceedings EURO-DAC '96. European Design Automation Conference with EURO-VHDL '96 and Exhibition.
[19] Radu Marculescu,et al. Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[20] Luca Benini,et al. Automatic synthesis of gated clocks for power reduction in sequential circuits , 1994 .
[21] H. Asadi,et al. Soft Error Derating Computation in Sequential Circuits , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[22] Luca Benini,et al. Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.
[23] Partha Pratim Pande,et al. Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation , 2009, IEEE Transactions on Computers.
[24] Ahmad Khademzadeh,et al. Evaluating the performance of one-dimensional chaotic maps in the network-on-chip mapping problem , 2009, IEICE Electron. Express.
[25] Iraklis Anagnostopoulos,et al. Systematic Exploration of Energy-Efficient Application-Specific Network-on-Chip Architectures , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.
[26] Luca Benini,et al. ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.
[27] Santanu Chattopadhyay,et al. Network-on-chip architecture design based on mesh-of-tree deterministic routing topology , 2008, Int. J. High Perform. Syst. Archit..
[28] Srinivasan Murali,et al. Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[29] Hiroshi Nakamura,et al. Ultra Fine-Grained Run-Time Power Gating of On-chip Routers for CMPs , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[30] Hoi-Jun Yoo,et al. A network-on-chip with 3Gbps/wire serialized on-chip interconnect using adaptive control schemes , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[31] Sung-Mo Kang,et al. Coupling-driven signal encoding scheme for low-power interface design , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[32] Ethiopia Nigussie,et al. High-Performance Long NoC Link Using Delay-Insensitive Current-Mode Signaling , 2007, VLSI Design.
[33] C.H. Kim,et al. An Analytical Model for Negative Bias Temperature Instability , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[34] R. Farah,et al. A method for efficient mapping and reliable routing for NoC architectures with minimum bandwidth and area , 2008, 2008 Joint 6th International IEEE Northeast Workshop on Circuits and Systems and TAISA Conference.
[35] Yu Hen Hu,et al. A Bidirectional NoC (BiNoC) Architecture With Dynamic Self-Reconfigurable Channel , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[36] Alois Knoll,et al. Energy-Aware Task Allocation for Network-on-Chip Based Heterogeneous Multiprocessor Systems , 2011, 2011 19th International Euromicro Conference on Parallel, Distributed and Network-Based Processing.
[37] A. Khademzadeh,et al. SMAP: An Intelligent Mapping tool for Network on Chip , 2007, 2007 International Symposium on Signals, Circuits and Systems.
[38] Simon W. Moore,et al. Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[39] Glenn Leary,et al. A holistic approach to Network-on-Chip synthesis , 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[40] Melvin A. Breuer,et al. Analytic models for crosstalk delay and pulse analysis under non-ideal inputs , 1997, Proceedings International Test Conference 1997.
[41] Chung-Ho Chen,et al. A Systematic Approach for Parallel CRC Computations , 2001, J. Inf. Sci. Eng..
[42] Yervant Zorian,et al. On IEEE P1500's Standard for Embedded Core Test , 2002, J. Electron. Test..
[43] Ahmad Khademzadeh,et al. Spiral: A heuristic mapping algorithm for network on chip , 2007, IEICE Electron. Express.
[44] Santanu Chattopadhyay,et al. Mesh-of-tree deterministic routing for network-on-chip architecture , 2008, GLSVLSI '08.
[45] Kees G. W. Goossens,et al. A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[46] Kshitij Bhardwaj,et al. Energy and bandwidth aware mapping of IPs onto regular NoC architectures using Multi-Objective Genetic Algorithms , 2009, 2009 International Symposium on System-on-Chip.
[47] Érika F. Cota,et al. Power-aware test scheduling in network-on-chip using variable-rate on-chip clocking , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).
[48] Anantha P. Chandrakasan,et al. Low power bus coding techniques considering inter-wire capacitances , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).
[49] José Duato,et al. A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks , 1993, IEEE Trans. Parallel Distributed Syst..
[50] Luca Benini. Application Specific NoC Design , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[51] Radu Marculescu,et al. Contention-aware application mapping for Network-on-Chip communication architectures , 2008, 2008 IEEE International Conference on Computer Design.
[52] Karam S. Chatha,et al. An ILP Formulation for System-Level Application Mapping on Network Processor Architectures , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[53] Luca P. Carloni,et al. The Case for Low-Power Photonic Networks on Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[54] Hsin-Chou Chi,et al. Design and implementation of a routing switch for on-chip interconnection networks , 2004, Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits.
[55] Gul N. Khan,et al. Synthesis of NoC Interconnects for Custom MPSoC Architectures , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[56] L. Benini,et al. Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[57] Santanu Chattopadhyay,et al. A new application mapping strategy for Mesh-of-Tree based Network-on-Chip , 2011, 2011 International Conference on Emerging Trends in Electrical and Computer Technology.
[58] David H. Albonesi,et al. Phastlane: a rapid transit optical routing network , 2009, ISCA '09.
[59] Santanu Chattopadhyay,et al. Application Mapping onto Mesh Structured Network-on-Chip Using Particle Swarm Optimization , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.
[60] Luigi Carro,et al. Reusing an on-chip network for the test of core-based systems , 2004, TODE.
[61] Gul N. Khan,et al. Multi-objective Tabu Search based topology generation technique for application-specific Network-on-Chip architectures , 2011, 2011 Design, Automation & Test in Europe.
[62] Gerard J. M. Smit,et al. A virtual channel network-on-chip for GT and BE traffic , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).
[63] Nectarios Koziris,et al. An efficient algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures , 2000, Proceedings 8th Euromicro Workshop on Parallel and Distributed Processing.
[64] Shahin Nazarian,et al. An empirical study of crosstalk in VDSM technologies , 2005, GLSVLSI '05.
[65] Jie Wu,et al. A Fault-Tolerant and Deadlock-Free Routing Protocol in 2D Meshes Based on Odd-Even Turn Model , 2003, IEEE Trans. Computers.
[66] Giuseppe Patanè,et al. Parallel CRC Realization , 2003, IEEE Trans. Computers.
[67] Wei Hwang,et al. Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).
[68] Ruxandra Pop,et al. A Survey of Techniques for Mapping and Scheduling Applications to Network on Chip Systems , .
[69] Charles A. Zukowski,et al. High-speed parallel CRC circuits in VLSI , 1992, IEEE Trans. Commun..
[70] Yehea I. Ismail,et al. Low power coupling-based encoding for on-chip buses , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[71] Robert W. Brodersen,et al. High-efficiency low-voltage dc-dc conversion for portable applications , 1994 .
[72] William J. Dally,et al. Digital systems engineering , 1998 .
[73] D. Andreasson,et al. On improving best-effort throughput by better utilization of guaranteed throughput channels in an on-chip communication system , 2004, Proceedings Norchip Conference, 2004..
[74] Dirk Herrmann,et al. Three Dimensional Integrated Circuit Design , 2016 .
[75] William J. Dally,et al. Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.
[76] Anantha Chandrakasan,et al. A bus energy model for deep submicron technology , 2002, IEEE Trans. Very Large Scale Integr. Syst..
[77] Arnab Banerjee,et al. A Power and Energy Exploration of Network-on-Chip Architectures , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[78] Wu,et al. Genetic Algorithm Based Mapping and Routing Approach for Network on Chip Architectures , 2010 .
[79] Yingtao Jiang,et al. Power-Aware Mapping for Network-on-Chip Architectures under Bandwidth and Latency Constraints , 2009, 2009 Fourth International Conference on Embedded and Multimedia Computing.
[80] Mahmut T. Kandemir,et al. Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[81] Omar Hammami,et al. Multi-objective Network-on-Chip synthesis with transaction level simulation , 2010, 2010 International Conference on Microelectronics.
[82] Hideharu Amano,et al. Three-Dimensional Layout of On-Chip Tree-Based Networks , 2008, 2008 International Symposium on Parallel Architectures, Algorithms, and Networks (i-span 2008).
[83] Érika F. Cota,et al. Constraint-Driven Test Scheduling for NoC-Based Systems , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[84] David Blaauw,et al. A highly resilient routing algorithm for fault-tolerant NoCs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[85] Zhengwei Chang,et al. Energy-aware Mapping for Tree-based NoC Architectures by Recursive Bipartitioning , 2008, 2008 International Conference on Embedded Software and Systems.
[86] Partha Pratim Pande,et al. High-throughput switch-based interconnect for future SoCs , 2003, The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, 2003. Proceedings..
[87] Jari Nurmi,et al. Issues in the development of a practical NoC: the Proteo concept , 2004, Integr..
[88] Vijay Laxmi,et al. GA Based Congestion Aware Topology Generation for Application Specific NoC , 2011, 2011 Sixth IEEE International Symposium on Electronic Design, Test and Application.
[89] Ling Xiang,et al. Energy- and Latency-Aware NoC Mapping Based on Chaos Discrete Particle Swarm Optimization , 2010, 2010 International Conference on Communications and Mobile Computing.
[90] Rudy Lauwereins,et al. Highly scalable network on chip for reconfigurable systems , 2003, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748).
[91] Chin Ping-Yuan,et al. A voltage level converter circuit design with low power consumption , 2005, 2005 6th International Conference on ASIC.
[92] Haytham Elmiligi,et al. Area-aware topology generation for Application-Specific Networks-on-Chip using network partitioning , 2009, 2009 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing.
[93] Chunguang Zhou,et al. Particle swarm optimization for traveling salesman problem , 2003, Proceedings of the 2003 International Conference on Machine Learning and Cybernetics (IEEE Cat. No.03EX693).
[94] Haytham Elmiligi,et al. Power-aware topology optimization for networks-on-chips , 2008, 2008 IEEE International Symposium on Circuits and Systems.
[95] Guy Gogniat,et al. A multi-objective approach for multi-application NoC mapping , 2011, 2011 IEEE Second Latin American Symposium on Circuits and Systems (LASCAS).
[96] Santanu Chattopadhyay,et al. A new application mapping algorithm for mesh based Network-on-Chip design , 2010, 2010 Annual IEEE India Conference (INDICON).
[97] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[98] Partha Pratim Pande,et al. Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[99] Jens Sparsø,et al. ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).
[100] Gul N. Khan,et al. Throughput-Oriented NoC Topology Generation and Analysis for High Performance SoCs , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[101] Rudy Lauwereins,et al. Topology adaptive network-on-chip design and implementation , 2005 .
[102] Niraj K. Jha,et al. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS , 2007, ICCD.
[103] Axel Jantsch,et al. Cluster-based Simulated Annealing for Mapping Cores onto 2D Mesh Networks on Chip , 2008, 2008 11th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems.
[104] Kees Goossens,et al. AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.
[105] Naresh R. Shanbhag,et al. Coding for reliable on-chip buses: a class of fundamental bounds and practical codes , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[106] Michael Welzl,et al. An efficient fault tolerant mechanism to deal with permanent and transient failures in a network on chip , 2007, Int. J. High Perform. Syst. Archit..
[107] Timothy Mark Pinkston,et al. A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems , 2003, IEEE Trans. Parallel Distributed Syst..
[108] Pierre Boulet,et al. Multi-objective Mapping for NoC Architectures , 2007, J. Digit. Inf. Manag..
[109] K. Srinivasan,et al. SAGA: synthesis technique for guaranteed throughput NoC architectures , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[110] Hoi-Jun Yoo,et al. Analysis and implementation of practical, cost-effective networks on chips , 2005, IEEE Design & Test of Computers.
[111] Hamid Sarbazi-Azad,et al. An efficient dynamically reconfigurable on-chip network architecture , 2010, Design Automation Conference.
[112] Hamid Sarbazi-Azad,et al. Application-Aware Topology Reconfiguration for On-Chip Networks , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[113] Fernando Gehm Moraes,et al. Comparison of network-on-chip mapping algorithms targeting low energy consumption , 2008, IET Comput. Digit. Tech..
[114] M. Watheq El-Kharashi,et al. Networks-on-Chip topology generation techniques: Area and delay evaluation , 2008, 2008 3rd International Design and Test Workshop.
[115] Yu Wang,et al. Tree-Based Partitioning Approach for Network-on-Chip Synthesis , 2011, 2011 12th International Conference on Computer-Aided Design and Computer Graphics.
[116] Alain Greiner,et al. A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.
[117] Jian Xu,et al. Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.
[118] Erik Jan Marinissen,et al. Test Wrapper and Test Access Mechanism Co-Optimization for System-on-Chip , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[119] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[120] Suleyman Tosun,et al. TopGen: A new algorithm for automatic topology generation for Network on Chip architectures to reduce power consumption , 2009, 2009 International Conference on Application of Information and Communication Technologies.
[121] Hamid Sarbazi-Azad,et al. Power-aware mapping for reconfigurable NoC architectures , 2007, 2007 25th International Conference on Computer Design.
[122] William J. Dally,et al. A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[123] Michael Welzl,et al. A Fault tolerant mechanism for handling Permanent and Transient Failures in a Network on Chip , 2007, Fourth International Conference on Information Technology (ITNG'07).
[124] Shu Lin,et al. Error control coding : fundamentals and applications , 1983 .
[125] Davide Bertozzi,et al. Designing Network On-Chip Architectures in the Nanoscale Era , 2010 .
[126] Cristinel Ababei. Efficient Congestion-Oriented Custom Network-on-Chip Topology Synthesis , 2010, 2010 International Conference on Reconfigurable Computing and FPGAs.
[127] David S. Johnson,et al. Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .
[128] Luca P. Carloni,et al. Photonic networks-on-chip: Opportunities and challenges , 2008, 2008 IEEE International Symposium on Circuits and Systems.
[129] Ahmad Khademzadeh,et al. Evaluating the Performance of a Chaos Genetic Algorithm for Solving the Network on Chip Mapping Problem , 2009, 2009 International Conference on Computational Science and Engineering.
[130] Xiaoqing Wen,et al. VLSI Test Principles and Architectures , 2006 .
[131] Shashi Kumar,et al. A two-step genetic algorithm for mapping task graphs to a network on chip architecture , 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..
[132] Tsutomu Yoshinaga,et al. Mathematical Model for Multiobjective Synthesis of NoC Architectures , 2007, 2007 International Conference on Parallel Processing Workshops (ICPPW 2007).
[133] Mahmut T. Kandemir,et al. An ilp based approach to reducing energy consumption in nocbased CMPS , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[134] Partha Pratim Pande,et al. Testing Network-on-Chip Communication Fabrics , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[135] R. Mullins. Minimising Dynamic Power Consumption in On-Chip Networks , 2006, 2006 International Symposium on System-on-Chip.
[136] José González,et al. An architecture for high-performance scalable shared-memory multiprocessors exploiting on-chip integration , 2004, IEEE Transactions on Parallel and Distributed Systems.
[137] Zhao Hai,et al. Convergence Analysis of a Dynamic Discrete PSO Algorithm , 2008, 2008 First International Conference on Intelligent Networks and Intelligent Systems.
[138] Ahmad Khademzadeh,et al. GBMAP: An Evolutionary Approach to Mapping Cores onto a Mesh-based NoC Architecture , 2010 .
[139] Thomas Canhao Xu,et al. Tree-model based mapping for energy-efficient and low-latency Network-on-Chip , 2010, 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems.
[140] Albert G. Greenberg,et al. Deflection routing in hypercube networks , 1992, IEEE Trans. Commun..
[141] Jeffrey A. Davis,et al. Optimization of throughput performance for low-power VLSI interconnects , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[142] Vincenzo Catania,et al. A Multi-objective Genetic Approach to Mapping Problem on Network-on-Chip , 2006, J. Univers. Comput. Sci..
[143] Luca Benini,et al. Network-on-Chip design and synthesis outlook , 2008, Integr..
[144] M. Coppola,et al. Spidergon: a novel on-chip communication network , 2004, 2004 International Symposium on System-on-Chip, 2004. Proceedings..
[145] Ran Ginosar,et al. QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..
[146] Masaru Fukushi,et al. Fault-Tolerant Routing Algorithm for Network on Chip without Virtual Channels , 2009, 2009 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.
[147] Cecilia Metra,et al. New ECC for crosstalk impact minimization , 2005, IEEE Design & Test of Computers.
[148] Thomas Canhao Xu,et al. Multi-application mapping algorithm for Network-on-Chip platforms , 2010, 2010 IEEE 26-th Convention of Electrical and Electronics Engineers in Israel.
[149] Axel Jantsch,et al. Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[150] Partha Pratim Pande,et al. Design of Low power & Reliable Networks on Chip through joint crosstalk avoidance and forward error correction coding , 2006, 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.
[151] Ahmad Patooghy,et al. XYX: A Power & Performance Efficient Fault-Tolerant Routing Algorithm for Network on Chip , 2009, 2009 17th Euromicro International Conference on Parallel, Distributed and Network-based Processing.
[152] Riccardo Sisto,et al. Parallel CRC generation , 1990, IEEE Micro.
[153] Luca Benini,et al. Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[154] Li Shang,et al. Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[155] Krishnan Srinivasan,et al. Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[156] William J. Dally,et al. Express Cubes: Improving the Performance of k-Ary n-Cube Interconnection Networks , 1989, IEEE Trans. Computers.
[157] Alberto L. Sangiovanni-Vincentelli,et al. Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.
[158] M. Watheq El-Kharashi,et al. Introducing OperaNP: A Reconfigurable NoC-Based Platform , 2007, 2007 Canadian Conference on Electrical and Computer Engineering.
[159] Magnus Jonsson,et al. Algorithm for the choice of topology in reconfigurable on-chip networks with real-time support , 2007, Nano-Net.
[160] Kevin Skadron,et al. Odd/even bus invert with two-phase transfer for buses with coupling , 2002, ISLPED '02.
[161] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[162] L. Benini,et al. Xpipes: a network-on-chip architecture for gigascale systems-on-chip , 2004, IEEE Circuits and Systems Magazine.
[163] Ming Li,et al. Pipelining-Based High Throughput Low Energy Mapping on Network-on-Chip , 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.
[164] Ashish Sharma,et al. Multi-Application Network-on-Chip Design using Global Mapping and Local Reconfiguration , 2014, TRETS.
[165] Onur Mutlu,et al. Express Cube Topologies for on-Chip Interconnects , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[166] Santanu Chattopadhyay,et al. Application Mapping Onto Mesh-Based Network-on-Chip Using Discrete Particle Swarm Optimization , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[167] Tapani Ahonen,et al. Topology optimization for application-specific networks-on-chip , 2004, SLIP '04.
[168] Deepak Majeti,et al. Low Energy Tree Based Network on Chip Architectures Using Homogeneous Routers for Bandwidth and Latency Constrained Multimedia Applications , 2009, 2009 Second International Conference on Emerging Trends in Engineering & Technology.
[169] Vincenzo Catania,et al. Implementation and Analysis of a New Selection Strategy for Adaptive Routing in Networks-on-Chip , 2008, IEEE Transactions on Computers.
[170] Y. Yagil,et al. A systematic approach to SER estimation and solutions , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..
[171] Lionel M. Ni,et al. Fault-tolerant wormhole routing in meshes without virtual channels , 1996, IEEE Transactions on Parallel and Distributed Systems.
[172] Altamiro Amadeu Susin,et al. SoCIN: a parametric and scalable network-on-chip , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..
[173] Dhiraj K. Pradhan,et al. Thermal-aware testing of network-on-chip using multiple-frequency clocking , 2006, 24th IEEE VLSI Test Symposium.
[174] Sungho Kang,et al. Test Scheduling of NoC-Based SoCs Using Multiple Test Clocks , 2006 .
[175] Zhigang Mao,et al. An application specific NoC mapping for optimized delay , 2006, International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006..
[176] Axel Jantsch,et al. A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip , 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).
[177] Ahmad Khademzadeh,et al. Elixir: A new bandwidth-constrained mapping for Networks-on-chip , 2010, IEICE Electron. Express.
[178] Kathryn Fraughnaugh,et al. Introduction to graph theory , 1973, Mathematical Gazette.
[179] Bin Li,et al. Configuring algorithm for reconfigurable Network-on-Chip architecture , 2012, 2012 2nd International Conference on Consumer Electronics, Communications and Networks (CECNet).
[180] David Blaauw,et al. Leakage-and crosstalk-aware bus encoding for total power reduction , 2004, Proceedings. 41st Design Automation Conference, 2004..
[181] Ranga Vemuri,et al. A reconfigurable architecture for multicore systems , 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW).
[182] Ahmet T. Erdogan,et al. Architecture of a Dynamically Reconfigurable NoC for Adaptive Reconfigurable MPSoC , 2006, First NASA/ESA Conference on Adaptive Hardware and Systems (AHS'06).
[183] M. Islam,et al. Extended-butterfly fat tree interconnection (EFTI) architecture for network on chip , 2005, PACRIM. 2005 IEEE Pacific Rim Conference on Communications, Computers and signal Processing, 2005..
[184] George Varghese,et al. Low-swing on-chip signaling techniques: effectiveness and robustness , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[185] Chita R. Das,et al. Exploring Fault-Tolerant Network-on-Chip Architectures , 2006, International Conference on Dependable Systems and Networks (DSN'06).
[186] Sri Parameswaran,et al. NoCOUT : NoC topology generation with mixed packet-switched and point-to-point networks , 2008, 2008 Asia and South Pacific Design Automation Conference.
[187] Luca Benini,et al. Analysis of error recovery schemes for networks on chips , 2005, IEEE Design & Test of Computers.
[188] Michael Sprachmann. Automatic generation of parallel CRC circuits , 2001, IEEE Design & Test of Computers.
[189] William J. Dally,et al. Flattened Butterfly Topology for On-Chip Networks , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[190] Omar Hammami,et al. Area/delay driven NoC synthesis , 2011, ICM 2011 Proceeding.
[191] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[192] Kees G. W. Goossens,et al. Avoiding Message-Dependent Deadlock in Network-Based Systems on Chip , 2007, VLSI Design.
[193] Xiaola Lin,et al. GA-based floorplan-aware topology synthesis of application-specific network-on-chip , 2010, 2010 IEEE International Conference on Intelligent Computing and Intelligent Systems.
[194] Marius Strum,et al. A Multi-objective Adaptive Immune Algorithm for NoC mapping , 2009, 2009 17th IFIP International Conference on Very Large Scale Integration (VLSI-SoC).
[195] Santanu Chattopadhyay,et al. Design and evaluation of Mesh-of-Tree based Network-on-Chip using virtual channel router , 2012, Microprocess. Microsystems.
[196] Michael Burrows,et al. Autonet: A High-Speed, Self-Configuring Local Area Network Using Point-to-Point Links , 1991, IEEE J. Sel. Areas Commun..
[197] Zhigang Mao,et al. Link-load balance aware mapping and routing for NoC , 2007 .
[198] Alexander Hall,et al. Energy efficient application mapping to NoC processing elements operating at multiple voltage levels , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[199] Yarsun Hsu,et al. A Novel Architecture and Routing Algorithm for Dynamic Reconfigurable Network-on-Chip , 2011, 2011 IEEE Ninth International Symposium on Parallel and Distributed Processing with Applications.
[200] Niraj K. Jha,et al. Express virtual channels: towards the ideal interconnection fabric , 2007, ISCA '07.
[201] Alain Greiner,et al. SPIN: a scalable, packet switched, on-chip micro-network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[202] Ming Zhang,et al. Soft Error Resilient System Design through Error Correction , 2006, 2006 IFIP International Conference on Very Large Scale Integration.
[203] Igor L. Markov,et al. Error-correction and crosstalk avoidance in DSM busses , 2003, SLIP '03.
[204] Liu Zheng,et al. Hybrid Communication Reconfigurable Network on Chip for MPSoC , 2010, 2010 24th IEEE International Conference on Advanced Information Networking and Applications.
[205] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[206] Seung Eun Lee,et al. A variable frequency link for a power-aware network-on-chip (NoC) , 2009, Integr..
[207] Juan M. Orduña,et al. A multi-objective strategy for concurrent mapping and routing in networks on chip , 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.
[208] Radu Marculescu,et al. DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[209] Suleyman Tosun. Cluster-based application mapping method for Network-on-Chip , 2011, Adv. Eng. Softw..
[210] I. Korotkyi,et al. Application-specific network-on-chip with link aggregation , 2012, 2012 Mediterranean Conference on Embedded Computing (MECO).
[211] E. Beyne,et al. The rise of the 3rd dimension for system intergration , 2006, 2006 International Interconnect Technology Conference.
[212] Sujit Dey,et al. An Interconnect Architecture for Networking Systems on Chips , 2002, IEEE Micro.
[213] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[214] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[215] S.J. Habib,et al. Q8WARE: Synthesis Tool for Network-on-Chip Applications , 2006, 2006 Innovations in Information Technology.
[216] Sujit Dey,et al. Fault modeling and simulation for crosstalk in system-on-chip interconnects , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
[217] Santanu Chattopadhyay,et al. An Application Mapping Technique for Butterfly-Fat-Tree Network-on-Chip , 2011, 2011 Second International Conference on Emerging Applications of Information Technology.
[218] Jason Cong,et al. CMP network-on-chip overlaid with multi-band RF-interconnect , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[219] Wei Zhong,et al. Application-specific Network-on-Chip synthesis: Cluster generation and network component insertion , 2011, 2011 12th International Symposium on Quality Electronic Design.
[220] Yervant Zorian,et al. Wrapper design for embedded core test , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[221] Jinwen Li,et al. An energy-aware heuristic constructive mapping algorithm for Network on Chip , 2009, 2009 IEEE 8th International Conference on ASIC.
[222] Yingtao Jiang,et al. A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints , 2010, TACO.
[223] Atena Roshan Fekr,et al. Citrine : A Methodology for Application-Specific Network-on-Chips Design , 2010 .
[224] Satoshi Goto,et al. Floorplanning and topology generation for application-specific Network-on-Chip , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[225] Tobias Bjerregaard,et al. A survey of research and practices of Network-on-chip , 2006, CSUR.
[226] Haidar M. Harmanani,et al. An optimal formulation for test scheduling network-on-chip using multiple clock rates , 2011, 2011 24th Canadian Conference on Electrical and Computer Engineering(CCECE).
[227] Mircea R. Stan,et al. Bus-invert coding for low-power I/O , 1995, IEEE Trans. Very Large Scale Integr. Syst..
[228] Wei-Feng Fang,et al. A binary tree architecture for application specific network on chip (ASNOC) design , 2004, The 2004 IEEE Asia-Pacific Conference on Circuits and Systems, 2004. Proceedings..
[229] Srinivasan Murali,et al. Performance driven reliable link design for networks on chips , 2005, ASP-DAC.
[230] Glenn Leary,et al. Design of Network-on-Chip Architectures With a Genetic Algorithm-Based Technique , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[231] Suleyman Tosun. New heuristic algorithms for energy aware application mapping and routing on mesh-based NoCs , 2011, J. Syst. Archit..
[232] Ahmad Khademzadeh,et al. Crinkle: A heuristic mapping algorithm for network on chip , 2009, IEICE Electron. Express.
[233] William J. Dally,et al. The torus routing chip , 2005, Distributed Computing.
[234] Radu Marculescu,et al. Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach , 2005, Design, Automation and Test in Europe.
[235] Soonhoi Ha,et al. Many-to-many core-switch mapping in 2-D mesh NoC architectures , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[236] Luca P. Carloni,et al. Networks-on-chip in emerging interconnect paradigms: Advantages and challenges , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[237] Giovanni De Micheli,et al. A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication , 2008, VLSI-SoC.
[238] Ge-Ming Chiu,et al. The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..
[239] Ahmad Khademzadeh,et al. CGMAP: a new approach to Network-on-Chip mapping problem , 2009, IEICE Electron. Express.
[240] Hui Chen,et al. Predictions of CMOS compatible on-chip optical interconnect , 2005, SLIP '05.
[241] Luca P. Carloni,et al. On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[242] Naveen Choudhary,et al. Energy aware design methodologies for application specific NoC , 2010, NORCHIP 2010.
[243] Luca Benini,et al. A floorplan-aware interactive tool flow for NoC design and synthesis , 2009, 2009 IEEE International SOC Conference (SOCC).
[244] Daniel H. Linder,et al. An Adaptive and Fault Tolerant Wormhole Routing Strategy for k-Ary n-Cubes , 1994, IEEE Trans. Computers.
[245] Luca Benini,et al. Fault Tolerance Overhead in Network-on-Chip Flow Control Schemes , 2005, 2005 18th Symposium on Integrated Circuits and Systems Design.
[246] Riccardo Poli,et al. Particle swarm optimization , 1995, Swarm Intelligence.
[247] Yuan Xie,et al. 3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC) , 2009, 2009 IEEE International Conference on 3D System Integration.
[248] L. Carro,et al. Time and energy efficient mapping of embedded applications onto NoCs , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[249] Naresh R. Shanbhag,et al. Toward achieving energy efficiency in presence of deep submicron noise , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[250] An-Yeu Wu,et al. A New Binomial Mapping and Optimization Algorithm for Reduced-Complexity Mesh-Based On-Chip Network , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[251] Chunsheng Liu,et al. Thermal-aware test scheduling and hot spot temperature minimization for core-based systems , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).
[252] Ashish Gambhir,et al. A Comparison of Network-on-chip and Buses , 2014 .
[253] S. Borkar,et al. An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[254] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[255] Haytham Elmiligi,et al. Power optimization for application-specific networks-on-chips: A topology-based approach , 2009, Microprocess. Microsystems.
[256] Ozcan Ozturk,et al. An ILP formulation for application mapping onto Network-on-Chips , 2009, 2009 International Conference on Application of Information and Communication Technologies.
[257] Andrew A. Chien,et al. Physical synthesis of energy-efficient networks-on-chip through topology exploration and wire style optimization , 2005, 2005 International Conference on Computer Design.
[258] David Z. Pan,et al. A3MAP: Architecture-Aware Analytic Mapping for Networks-on-Chip , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[259] Marc A. Kaplan,et al. A new parallel algorithm for CRC generation , 2000, 2000 IEEE International Conference on Communications. ICC 2000. Global Convergence Through Communications. Conference Record.
[260] Axel Jantsch,et al. A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[261] Naresh R. Shanbhag,et al. Coding for systern-on-chip networks: a unified framework , 2004, Proceedings. 41st Design Automation Conference, 2004..
[262] Pierre Boulet,et al. Mapping Real Time Applications on NoC Architecture with Hybrid Multi-objective Algorithm , 2010 .
[263] Vishwani D. Agrawal,et al. Statistical Leakage and Timing Optimization for Submicron Process Variation , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
[264] Henry Hoffmann,et al. On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.
[265] Vishwani D. Agrawal,et al. Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.
[266] Dinesh Bhatia,et al. Network interface for NoC based architectures , 2007 .
[267] L. Benini,et al. Designing Application-Specific Networks on Chips with Floorplan Information , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[268] Bill Lin,et al. Application-specific Network-on-Chip architecture synthesis based on set partitions and Steiner Trees , 2008, 2008 Asia and South Pacific Design Automation Conference.
[269] Ankur Jain,et al. Electrical modeling and characterization of through-silicon vias (TSVs) for 3-D integrated circuits , 2008, Microelectron. J..
[270] E. Friedman,et al. Figures of merit to characterize the importance of on-chip inductance , 1998, DAC.
[271] Vincenzo Catania,et al. Multi-objective mapping for mesh-based NoC architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..
[272] Arvind Kumar,et al. Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..
[273] Radu Marculescu,et al. Application-specific network-on-chip architecture customization via long-range link insertion , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[274] Paul-Peter Sotiriadis,et al. Interconnect modeling and optimization in deep sub-micron technologies , 2002 .
[275] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[276] Ming Zhang,et al. Combinational Logic Soft Error Correction , 2006, 2006 IEEE International Test Conference.
[277] Krishnan Srinivasan,et al. An automated technique for topology and route generation of application specific on-chip interconnection networks , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[278] Srinivasan Murali,et al. SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..
[279] Radu Marculescu,et al. Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[280] Fernando Gehm Moraes,et al. Exploring NoC mapping strategies: an energy and timing aware technique , 2005, Design, Automation and Test in Europe.
[281] Li-Shiuan Peh,et al. Design-space exploration of power-aware on/off interconnection networks , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[282] Wentai Liu,et al. Current-mode signaling in deep submicrometer global interconnects , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[283] Maurizio Decina,et al. Throughput and packet loss in deflection routing multichannel-metropolitan area networks , 1991, IEEE Global Telecommunications Conference GLOBECOM '91: Countdown to the New Millennium. Conference Record.
[284] Hoi-Jun Yoo,et al. A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[285] Santanu Chattopadhyay,et al. Application-Specific Network-on-Chip synthesis with flexible router Placement , 2013, J. Syst. Archit..
[286] Siamak Mohammadi,et al. A link failure aware routing algorithm for Networks-on-Chip in nano technologies , 2009, 2009 9th IEEE Conference on Nanotechnology (IEEE-NANO).
[287] Ahmad Khademzadeh,et al. Chain-Mapping for mesh based Network-on-Chip architecture , 2009, IEICE Electron. Express.