Logic locking for IP security: A comprehensive analysis on challenges, techniques, and trends

[1]  H. Aboushady,et al.  Anti-Piracy Design of RF Transceivers , 2023, IEEE Transactions on Circuits and Systems I: Regular Papers.

[2]  B. Rouzeyre,et al.  SKG-Lock+: A Provably Secure Logic Locking SchemeCreating Significant Output Corruption , 2022, Electronics.

[3]  O. Sinanoglu,et al.  Hide and Seek: Seeking the (Un)-Hidden Key in Provably-Secure Logic Locking Techniques , 2022, IEEE Transactions on Information Forensics and Security.

[4]  O. Sinanoglu,et al.  Digitally Assisted Mixed-Signal Circuit Security , 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Hadi Mardani Kamali,et al.  O'clock: lock the clock via clock-gating for SoC IP protection , 2022, DAC.

[6]  Muhammad Abdullah Hanif,et al.  GNNUnlock+: A Systematic Methodology for Designing Graph Neural Networks-Based Oracle-Less Unlocking Schemes for Provably Secure Logic Locking , 2022, IEEE Transactions on Emerging Topics in Computing.

[7]  H. Aboushady,et al.  RF Transceiver Security Against Piracy Attacks , 2022, IEEE Transactions on Circuits and Systems - II - Express Briefs.

[8]  Shubham Rai,et al.  ENTANGLE: An Enhanced Logic-locking Technique for Thwarting SAT and Structural Attacks , 2022, ACM Great Lakes Symposium on VLSI.

[9]  Swarup Bhunia,et al.  LeGO: A Learning-Guided Obfuscation Framework for Hardware IP Protection , 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  A. Orailoglu,et al.  JANUS-HD: Exploiting FSM Sequentiality and Synthesis Flexibility in Logic Obfuscation to Thwart SAT Attack While Offering Strong Corruption , 2022, 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  R. Leupers,et al.  Designing ML-resilient locking at register-transfer level , 2022, DAC.

[12]  Ozgur Sinanoglu,et al.  OMLA: An Oracle-Less Machine Learning-Based Attack on Logic Locking , 2022, IEEE Transactions on Circuits and Systems II: Express Briefs.

[13]  Jeyavijayan Rajendran,et al.  Towards Provably-Secure Analog and Mixed-Signal Locking Against Overproduction , 2022, IEEE Transactions on Emerging Topics in Computing.

[14]  Chia-Chun Lin,et al.  LOOPLock 2.0: An Enhanced Cyclic Logic Locking Approach , 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  A. Orailoglu,et al.  JANUS: Boosting Logic Obfuscation Scope Through Reconfigurable FSM Synthesis , 2021, 2021 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[16]  Mark Mohammad Tehranipoor,et al.  HLock: Locking IPs at the High-Level Language , 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

[17]  Ramesh Karri,et al.  Fortifying RTL Locking Against Oracle-Less (Untrusted Foundry) and Oracle-Guided Attacks , 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

[18]  Debdeep Mukhopadhyay,et al.  ORACALL: An Oracle-Based Attack on Cellular Automata Guided Logic Locking , 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  Hassan Aboushady,et al.  Locking by Untuning: A Lock-Less Approach for Analog and Mixed-Signal IC Security , 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Sara Tehranipoor,et al.  Investigation of Reinforcement Learning-based Attack on Logic Locking , 2021, 2021 IEEE International Symposium on Technologies for Homeland Security (HST).

[21]  Ramesh Karri,et al.  Exploring eFPGA-based Redaction for IP Protection , 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

[22]  Rainer Leupers,et al.  Trustworthy Hardware Design with Logic Locking , 2021, 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC).

[23]  Santanu Chattopadhyay,et al.  Efficient Key-Gate Placement and Dynamic Scan Obfuscation Towards Robust Logic Encryption , 2021, IEEE Transactions on Emerging Topics in Computing.

[24]  Irene G. Karybali,et al.  Thwarting All Logic Locking Attacks: Dishonest Oracle With Truly Random Logic Locking , 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Swarup Bhunia,et al.  SCOPE: Synthesis-Based Constant Propagation Attack on Logic Locking , 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[26]  Houman Homayoun,et al.  Data Flow Obfuscation: A New Paradigm for Obfuscating Circuits , 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[27]  Domenic Forte,et al.  Security Assessment of Dynamically Obfuscated Scan Chain Against Oracle-guided Attacks , 2021, ACM Trans. Design Autom. Electr. Syst..

[28]  Lawrence T. Pileggi,et al.  Hardware Redaction via Designer-Directed Fine-Grained eFPGA Insertion , 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[29]  Ramesh Karri,et al.  HOST: HLS Obfuscations against SMT ATtack , 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[30]  Ozgur Sinanoglu,et al.  UNSAIL: Thwarting Oracle-Less Machine Learning Attacks on Logic Locking , 2020, IEEE Transactions on Information Forensics and Security.

[31]  Faiq Khalid,et al.  GNNUnlock: Graph Neural Networks-based Oracle-less Unlocking Scheme for Provably Secure Logic Locking , 2020, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[32]  Domenic Forte,et al.  Adaptable and Divergent Synthetic Benchmark Generation for Hardware Security , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

[33]  Chia-Chun Lin,et al.  LOOPLock: Logic Optimization-Based Cyclic Logic Locking , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[34]  Jeyavijayan Rajendran,et al.  Keynote: A Disquisition on Logic Locking , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[35]  Houman Homayoun,et al.  InterLock: An Intercorrelated Logic and Routing Locking , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

[36]  Houman Homayoun,et al.  NNgSAT: Neural Network guided SAT Attack on Logic Locked Complex Structures , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

[37]  Ujjwal Guin,et al.  ATPG-Guided Fault Injection Attacks on Logic Locking , 2020, 2020 IEEE Physical Assurance and Inspection of Electronics (PAINE).

[38]  Tiago D. Perez,et al.  A Survey on Split Manufacturing: Attacks, Defenses, and Challenges , 2020, IEEE Access.

[39]  Avesta Sasan,et al.  On Designing Secure and Robust Scan Chain for Protecting Obfuscated Logic , 2020, ACM Great Lakes Symposium on VLSI.

[40]  Jeyavijayan Rajendran,et al.  Removal Attacks on Logic Locking and Camouflaging Techniques , 2020, IEEE Transactions on Emerging Topics in Computing.

[41]  Ozgur Sinanoglu,et al.  Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[42]  Ankur Srivastava,et al.  Strong Anti-SAT: Secure and Effective Logic Locking , 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).

[43]  Santanu Chattopadhyay,et al.  A Particle Swarm Optimization Guided Approximate Key Search Attack on Logic Locking in The Absence of Scan Access , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[44]  Avesta Sasan,et al.  SAT-Hard Cyclic Logic Obfuscation for Protecting the IP in the Manufacturing Supply Chain , 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[45]  Ozgur Sinanoglu,et al.  Truly Stripping Functionality for Logic Locking: A Fault-Based Perspective , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[46]  Ozgur Sinanoglu,et al.  DynUnlock: Unlocking Scan Chains Obfuscated using Dynamic Keys , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[47]  Swarup Bhunia,et al.  Sweep to the Secret: A Constant Propagation Attack on Logic Locking , 2019, 2019 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).

[48]  Domenic Forte,et al.  CAS-Lock: A Security-Corruptibility Trade-off Resilient Logic Locking Scheme , 2019, IACR Trans. Cryptogr. Hardw. Embed. Syst..

[49]  Pinchen Cui,et al.  TGA: An Oracle-less and Topology-Guided Attack on Logic Locking , 2019, ASHES@CCS.

[50]  R. D. Blanton,et al.  Characterization of Locked Combinational Circuits via ATPG , 2019, 2019 IEEE International Test Conference (ITC).

[51]  Jishen Zhao,et al.  GenUnlock: An Automated Genetic Algorithm Framework for Unlocking Logic Encryption , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[52]  Muhammad Yasin,et al.  SFLL-HLS: Stripped-Functionality Logic Locking Meets High-Level Synthesis , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[53]  David Z. Pan,et al.  IcySAT: Improved SAT-based Attacks on Cyclic Locked Circuits , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[54]  R. D. Blanton,et al.  Characterization of Locked Sequential Circuits via ATPG , 2019, 2019 IEEE International Test Conference in Asia (ITC-Asia).

[55]  Ozgur Sinanoglu,et al.  Is Robust Design-for-Security Robust Enough? Attack on Locked Circuits with Restricted Scan Chain Access , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[56]  Swaroop Ghosh,et al.  TOIC: Timing Obfuscated Integrated Circuits , 2019, ACM Great Lakes Symposium on VLSI.

[57]  Bo Hu,et al.  Functional Obfuscation of Hardware Accelerators through Selective Partial Design Extraction onto an Embedded FPGA , 2019, ACM Great Lakes Symposium on VLSI.

[58]  Marie-Lise Flottes,et al.  Logic Locking: A Survey of Proposed Methods and Evaluation Metrics , 2019, J. Electron. Test..

[59]  Swarup Bhunia,et al.  SURF: Joint Structural Functional Attack on Logic Locking , 2019, 2019 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[60]  Luca Benini,et al.  The Cost of Application-Class Processing: Energy and Performance Analysis of a Linux-Ready 1.7-GHz 64-Bit RISC-V Core in 22-nm FDSOI Technology , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[61]  Bo Hu,et al.  Design Obfuscation through Selective Post-Fabrication Transistor-Level Programming , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[62]  Ozgur Sinanoglu,et al.  Stripped Functionality Logic Locking With Hamming Distance-Based Restore Unit (SFLL-hd) – Unlocked , 2019, IEEE Transactions on Information Forensics and Security.

[63]  Alex Orailoglu,et al.  Piercing Logic Locking Keys through Redundancy Identification , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[64]  Ozgur Sinanoglu,et al.  MixLock: Securing Mixed-Signal Circuits via Logic Locking , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[65]  Meng Li,et al.  KC2: Key-Condition Crunching for Fast Sequential Circuit Deobfuscation , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[66]  David Z. Pan,et al.  On the Approximation Resiliency of Logic Locking and IC Camouflaging Schemes , 2019, IEEE Transactions on Information Forensics and Security.

[67]  Ankur Srivastava,et al.  Anti-SAT: Mitigating SAT Attack on Logic Locking , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[68]  Ozgur Sinanoglu,et al.  ScanSAT: unlocking obfuscated scan chains , 2019, ASP-DAC.

[69]  Hai Zhou,et al.  CycSAT-unresolvable cyclic logic encryption using unreachable states , 2019, ASP-DAC.

[70]  Hai Zhou,et al.  BeSAT: behavioral SAT-based attack on cyclic logic encryption , 2019, ASP-DAC.

[71]  Avesta Sasan,et al.  SMT Attack: Next Generation Attack on Obfuscated Circuits with Capabilities and Performance Beyond the SAT Attacks , 2018, IACR Trans. Cryptogr. Hardw. Embed. Syst..

[72]  Ozgur Sinanoglu,et al.  Customized Locking of IP Blocks on a Multi-Million-Gate SoC , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[73]  Swarup Bhunia,et al.  SAIL: Machine Learning Guided Structural Analysis Attack on Hardware Obfuscation , 2018, 2018 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).

[74]  Ramesh Karri,et al.  Securing Hardware Accelerators: A New Challenge for High-Level Synthesis , 2018, IEEE Embedded Systems Letters.

[75]  Donglin Su,et al.  Secure Scan and Test Using Obfuscation Throughout Supply Chain , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[76]  Christof Paar,et al.  On the Difficulty of FSM-based Hardware Obfuscation , 2018, IACR Trans. Cryptogr. Hardw. Embed. Syst..

[77]  Daniel E. Holcomb,et al.  Survey on Applications of Formal Methods in Reverse Engineering and Intellectual Property Protection , 2018, Journal of Hardware and Systems Security.

[78]  Rainer Leupers,et al.  A Unifying logic encryption security metric , 2018, SAMOS.

[79]  Ankur Srivastava,et al.  GPU Obfuscation: Attack and Defense Strategies , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[80]  Ramesh Karri,et al.  TAO: Techniques for Algorithm-Level Obfuscation during High-Level Synthesis , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[81]  Meng Li,et al.  Cross-Lock: Dense Layout-Level Interconnect Locking using Cross-bar Architectures , 2018, ACM Great Lakes Symposium on VLSI.

[82]  Avesta Sasan,et al.  LUT-Lock: A Novel LUT-Based Logic Obfuscation for FPGA-Bitstream and ASIC-Hardware Protection , 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[83]  Yixin Chen,et al.  An End-to-End Deep Learning Architecture for Graph Classification , 2018, AAAI.

[84]  Avesta Sasan,et al.  SRCLock: SAT-Resistant Cyclic Logic Locking for Protecting the Hardware , 2018, ACM Great Lakes Symposium on VLSI.

[85]  Ozgur Sinanoglu,et al.  ATPG-based cost-effective, secure logic locking , 2018, 2018 IEEE 36th VLSI Test Symposium (VTS).

[86]  Hai Zhou,et al.  Cyclic locking and memristor-based obfuscation against CycSAT and inside foundry attacks , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[87]  Ulf Schlichtmann,et al.  TimingCamouflage: Improving circuit security against counterfeiting by unconventional timing , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[88]  Hai Zhou,et al.  SAT-based bit-flipping attack on logic encryptions , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[89]  Ozgur Sinanoglu,et al.  Towards provably-secure performance locking , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[90]  Fatemeh Tehranipoor,et al.  Deep RNN-Oriented Paradigm Shift through BOCANet: Broken Obfuscated Circuit Attack , 2018, ACM Great Lakes Symposium on VLSI.

[91]  Ujjwal Guin,et al.  Robust Design-for-Security Architecture for Enabling Trust in IC Manufacturing and Test , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[92]  Qiaoyan Yu,et al.  Novel Dynamic State-Deflection Method for Gate-Level Design Obfuscation , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[93]  Michael Hamburg,et al.  Spectre Attacks: Exploiting Speculative Execution , 2018, 2019 IEEE Symposium on Security and Privacy (SP).

[94]  Ozgur Sinanoglu,et al.  Evolution of logic locking , 2017, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

[95]  Hai Zhou,et al.  CycSAT: SAT-based attack on cyclic logic encryptions , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[96]  Siddharth Garg,et al.  Reverse engineering camouflaged sequential circuits without scan access , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[97]  Jeyavijayan Rajendran,et al.  Provably-Secure Logic Locking: From Theory To Practice , 2017, CCS.

[98]  Domenic Forte,et al.  Novel Bypass Attack and BDD-based Tradeoff Analysis Against All Known Logic Locking Attacks , 2017, CHES.

[99]  Lilian Bossuet,et al.  Centrality Indicators for Efficient and Scalable Logic Masking , 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[100]  Konstantinos Pexaras,et al.  Weighted logic locking: A new approach for IC piracy protection , 2017, 2017 IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS).

[101]  Ankur Srivastava,et al.  Delay locking: Security enhancement of logic locking against IC counterfeiting and overproduction , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

[102]  Meng Li,et al.  Cyclic Obfuscation for Creating SAT-Unresolvable Circuits , 2017, ACM Great Lakes Symposium on VLSI.

[103]  Hai Zhou,et al.  Double DIP: Re-Evaluating Security of Logic Encryption Algorithms , 2017, ACM Great Lakes Symposium on VLSI.

[104]  David Z. Pan,et al.  Revisit sequential logic obfuscation: Attacks and defenses , 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

[105]  Meng Li,et al.  AppSAT: Approximately deobfuscating integrated circuits , 2017, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[106]  Ozgur Sinanoglu,et al.  TTLock: Tenacious and traceless logic locking , 2017, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[107]  Mark Mohammad Tehranipoor,et al.  Dynamically obfuscated scan for protecting IPs against scan-based attacks throughout supply chain , 2017, 2017 IEEE 35th VLSI Test Symposium (VTS).

[108]  Mark Mohammad Tehranipoor,et al.  Benchmarking of Hardware Trojans and Maliciously Affected Circuits , 2017, Journal of Hardware and Systems Security.

[109]  Meng Li,et al.  Provably secure camouflaging strategy for IC protection , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[110]  Jeyavijayan Rajendran,et al.  CamoPerturb: Secure IC camouflaging for minterm protection , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[111]  Ramesh Karri,et al.  On Improving the Security of Logic Locking , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[112]  Gang Qu,et al.  VLSI supply chain security risks and mitigation techniques: A survey , 2016, Integr..

[113]  Michael Chen,et al.  A Platform Solution for Secure Supply-Chain and Chip Life-Cycle Management , 2016, Computer.

[114]  Ozgur Sinanoglu,et al.  SARLock: SAT attack resistant logic locking , 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[115]  Ozgur Sinanoglu,et al.  Security analysis of logic encryption against the most effective side-channel attack: DPA , 2015, 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

[116]  Sayak Ray,et al.  Evaluating the security of logic encryption algorithms , 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[117]  Igor L. Markov,et al.  Solving the Third-Shift Problem in IC Piracy With Test-Aware Logic Locking , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[118]  Jeyavijayan Rajendran,et al.  Fault Analysis-Based Logic Encryption , 2015, IEEE Transactions on Computers.

[119]  Lilian Bossuet,et al.  Survey of hardware protection of design data for integrated circuits and intellectual properties , 2014, IET Comput. Digit. Tech..

[120]  Ramesh Karri,et al.  A Primer on Hardware Security: Models, Methods, and Metrics , 2014, Proceedings of the IEEE.

[121]  Jeyavijayan Rajendran,et al.  Regaining Trust in VLSI Design: Design-for-Trust Techniques , 2014, Proceedings of the IEEE.

[122]  Giorgio Di Natale,et al.  A novel hardware logic encryption technique for thwarting illegal overproduction and Hardware Trojans , 2014, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS).

[123]  Lawrence T. Pileggi,et al.  Building trusted ICs using split fabrication , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[124]  M. Tehranipoor,et al.  Counterfeit Integrated Circuits: Detection, Avoidance, and the Challenges Ahead , 2014, J. Electron. Test..

[125]  Mark Mohammad Tehranipoor,et al.  On design vulnerability analysis and trust benchmarks development , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

[126]  Jeyavijayan Rajendran,et al.  VLSI testing based security metric for IC camouflaging , 2013, 2013 IEEE International Test Conference (ITC).

[127]  Jeyavijayan Rajendran,et al.  Security analysis of integrated circuit camouflaging , 2013, CCS.

[128]  Michael S. Hsiao,et al.  Interlocking obfuscation for anti-tamper hardware , 2013, CSIIRW '13.

[129]  Bah-Hwee Gwee,et al.  Extracting functional modules from flattened gate-level netlist , 2012, 2012 International Symposium on Communications and Information Technologies (ISCIT).

[130]  Jeyavijayan Rajendran,et al.  Security analysis of logic obfuscation , 2012, DAC Design Automation Conference 2012.

[131]  Farinaz Koushanfar,et al.  Provably Secure Active IC Metering Techniques for Piracy Avoidance and Digital Rights Management , 2012, IEEE Transactions on Information Forensics and Security.

[132]  Dick James,et al.  The state-of-the-art in semiconductor reverse engineering , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[133]  Mark Mohammad Tehranipoor,et al.  Trustworthy Hardware: Identifying and Classifying Hardware Trojans , 2010, Computer.

[134]  Swarup Bhunia,et al.  HARPOON: An Obfuscation-Based SoC Design Methodology for Hardware Protection , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[135]  Jarrod A. Roy,et al.  EPIC: Ending Piracy of Integrated Circuits , 2008, 2008 Design, Automation and Test in Europe.

[136]  Mark Mohammad Tehranipoor,et al.  Securing Designs against Scan-Based Side-Channel Attacks , 2007, IEEE Transactions on Dependable and Secure Computing.

[137]  Farinaz Koushanfar,et al.  Active Hardware Metering for Intellectual Property Protection and Security , 2007, USENIX Security Symposium.

[138]  Boris Skoric,et al.  Read-Proof Hardware from Protective Coatings , 2006, CHES.

[139]  Mark Mohammad Tehranipoor,et al.  A low-cost solution for protecting IPs against scan-based side-channel attacks , 2006, 24th IEEE VLSI Test Symposium.

[140]  Mark Mohammad Tehranipoor,et al.  Securing Scan Design Using Lock and Key Technique , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).

[141]  Miodrag Potkonjak,et al.  Local watermarks: methodology and application to behavioral synthesis , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[142]  Kenneth L. McMillan,et al.  Interpolation and SAT-Based Model Checking , 2003, CAV.

[143]  Gang Qu,et al.  Hardware metering , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[144]  Giovanni Squillero,et al.  RT-Level ITC'99 Benchmarks and First ATPG Results , 2000, IEEE Des. Test Comput..

[145]  Miodrag Potkonjak,et al.  Effective iterative techniques for fingerprinting design IP , 1999, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[146]  Miodrag Potkonjak,et al.  Robust IP watermarking methodologies for physical design , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[147]  Miodrag Potkonjak,et al.  Watermarking techniques for intellectual property protection , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[148]  James Kennedy,et al.  Particle swarm optimization , 2002, Proceedings of ICNN'95 - International Conference on Neural Networks.

[149]  Robert E. Tarjan,et al.  Depth-First Search and Linear Graph Algorithms , 1972, SIAM J. Comput..

[150]  R. Leupers,et al.  Logic Locking , 2023 .

[151]  O. Sinanoglu,et al.  Titan: Security Analysis of Large-Scale Hardware Obfuscation Using Graph Neural Networks , 2023, IEEE Transactions on Information Forensics and Security.

[152]  Amit Mazumder Shuvo,et al.  Secure Physical Design , 2022, IACR Cryptol. ePrint Arch..

[153]  O. Sinanoglu,et al.  Valkyrie: Vulnerability Assessment Tool and Attack for Provably-Secure Logic Locking Techniques , 2022, IEEE Transactions on Information Forensics and Security.

[154]  Hadi Mardani Kamali,et al.  Advances in Logic Locking: Past, Present, and Prospects , 2022, IACR Cryptol. ePrint Arch..

[155]  Zhaokun Han,et al.  Does logic locking work with EDA tools? , 2021, USENIX Security Symposium.

[156]  Ozgur Sinanoglu,et al.  Breaking CAS-Lock and Its Variants by Exploiting Structural Traces , 2021, IACR Cryptol. ePrint Arch..

[157]  Swarup Bhunia,et al.  SARO: Scalable Attack-Resistant Logic Locking , 2021, IEEE Transactions on Information Forensics and Security.

[158]  Jeyavijayan Rajendran,et al.  Security analysis of Anti-SAT , 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

[159]  Rohit Kapur,et al.  A New Logic Encryption Strategy Ensuring Key Interdependency , 2017, 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID).

[160]  Farinaz Koushanfar,et al.  Active Hardware Metering by Finite State Machine Obfuscation , 2017 .

[161]  Swarup Bhunia,et al.  Introduction to Hardware Obfuscation: Motivation, Methods and Evaluation , 2017 .

[162]  Siddharth Garg,et al.  Integrated Circuit (IC) Decamouflaging: Reverse Engineering Camouflaged ICs within Minutes , 2015, NDSS.

[163]  Joseph Zambreno,et al.  Preventing IC Piracy Using Reconfigurable Logic Barriers , 2010, IEEE Design & Test of Computers.

[164]  Ah Chung Tsoi,et al.  The Graph Neural Network Model , 2009, IEEE Transactions on Neural Networks.

[165]  Niklas Een,et al.  MiniSat v1.13 - A SAT Solver with Conflict-Clause Minimization , 2005 .

[166]  David E. Goldberg,et al.  Genetic Algorithms, Tournament Selection, and the Effects of Noise , 1995, Complex Syst..