Open-Source Memory Compiler for Automatic RRAM Generation and Verification
暂无分享,去创建一个
Timothy G. Constandinou | Peilong Feng | Dimitrios Antoniadis | Andrea Mifsud | D. Antoniadis | T. Constandinou | A. Mifsud | Peilong Feng
[1] T. Sasaki,et al. A 0.7V single-supply SRAM with 0.495um2 cell in 65nm technology utilizing self-write-back sense amplifier and cascaded bit line scheme , 2008, 2008 IEEE Symposium on VLSI Circuits.
[2] Sheng Wu,et al. A 65nm embedded low power SRAM compiler , 2010, 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems.
[3] An Chen,et al. A review of emerging non-volatile memory (NVM) technologies and applications , 2016 .
[4] Farooq Ahmad Khanday,et al. Resistive Random Access Memory (RRAM): an Overview of Materials, Switching Mechanism, Performance, Multilevel Cell (mlc) Storage, Modeling, and Applications , 2020, Nanoscale Research Letters.
[5] Richard C. Jaeger,et al. A subnanosecond clamped-bit-line sense amplifier for 1T dynamic RAMs , 1991, 1991 International Symposium on VLSI Technology, Systems, and Applications - Proceedings of Technical Papers.
[6] H.-S. Philip Wong,et al. In-memory computing with resistive switching devices , 2018, Nature Electronics.
[7] Meng-Fan Chang,et al. Read circuits for resistive memory (ReRAM) and memristor-based nonvolatile Logics , 2015, The 20th Asia and South Pacific Design Automation Conference.
[8] D. Stewart,et al. The missing memristor found , 2008, Nature.
[9] Jonathan Chang,et al. A 5GHz 7nm L1 cache memory compiler for high-speed computing and mobile applications , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).
[10] Takayasu Sakurai,et al. Highly Energy-Efficient SRAM With Hierarchical Bit Line Charge-Sharing Method Using Non-Selected Bit Line Charges , 2013, IEEE Journal of Solid-State Circuits.
[11] T. Sasaki,et al. A 0.7 V Single-Supply SRAM With 0.495 $\mu$m$^{2}$ Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme , 2009, IEEE Journal of Solid-State Circuits.
[12] Zheng Guo,et al. Characterization of Dynamic SRAM Stability in 45 nm CMOS , 2011, IEEE Journal of Solid-State Circuits.
[13] B. K. Madhavi,et al. Performance analysis of low power 6T SRAM cell in 180nm and 90nm , 2016, 2016 2nd International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics (AEEICB).
[14] Lieuwe B. Leene,et al. Hybrid CMOS/Memristor Circuit Design Methodology , 2020, ArXiv.
[15] Zhiqiang Gao,et al. A Flexible Embedded SRAM IP Compiler , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[16] Bin Wu,et al. OpenRAM: An open-source memory compiler , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[17] Spyros Stathopoulos,et al. An Electrical Characterisation Methodology for Benchmarking Memristive Device Technologies , 2019, Scientific Reports.
[18] J Joshua Yang,et al. Memristive devices for computing. , 2013, Nature nanotechnology.
[19] Sally A. McKee,et al. Hitting the memory wall: implications of the obvious , 1995, CARN.
[20] B Wicht. Current sense amplifiers for embedded SRAM in high-performance system-on-a-chip designs , 2003 .
[21] E. Babayan,et al. Synopsys' Educational Generic Memory Compiler , 2014, 10th European Workshop on Microelectronics Education (EWME).
[22] Tanmay Shah. FabMem: A Multiported RAM and CAM Compiler for Superscalar Design Space Exploration. , 2010 .