A Fine-Grain Dynamically Reconfigurable Architecture Aimed at Reducing the FPGA-ASIC Gaps

Prior work has shown that due to the overhead incurred in enabling reconfigurability, field-programmable gate arrays (FPGAs) require 21× more silicon area, 3× larger delay, and 10× more dynamic power consumption compared with application-specific integrated circuits (ASICs). We have earlier presented a hybrid CMOS/nanotechnology reconfigurable architecture (NATURE). It uses the concept of temporal logic folding and fine-grain (i.e., cycle-level) dynamic reconfiguration to increase logic density by an order of magnitude. Since logic folding reduces area usage significantly, on-chip communications tend to become localized. To take full advantage of this fact, we propose a new architecture, called fine-grain dynamically reconfigurable (FDR), that consists of an array of homogeneous reconfigurable logic elements (LEs). Each LE can be arbitrarily configured into a lookup table (LUT) or interconnect or a combination of both. This significantly enhances the flexibility of allocating hardware resources between LUTs and interconnects based on application needs. The proposed FDR architecture eliminates most of the long-distance and global wires, which occupy most of the area in conventional FPGAs. Fine-grain dynamic reconfiguration is enabled by local embedded static RAM blocks. The experiments show that, on an average, area, delay, and power are improved by 9.14×, 1.11×, and 1.45×, compared with a conventional FPGA architecture that does not use the concept of logic folding. Compared with NATURE with deep logic folding, area, delay, and power are improved by 2.12×, 3.28×, and 1.74×, respectively. Although this does not eliminate the FPGA-ASIC area/delay/power gaps, it makes progress toward bridging these gaps.

[1]  Seth Copen Goldstein,et al.  PipeRench: A Reconfigurable Architecture and Compiler , 2000, Computer.

[2]  N. Miyamoto,et al.  Improving Multi-Context Execution Speed on DRFPGAs , 2006, 2006 IEEE Asian Solid-State Circuits Conference.

[3]  Jonathan Rose,et al.  Measuring the Gap Between FPGAs and ASICs , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Srivaths Ravi,et al.  Satisfiability-based test generation for nonseparable RTL controller-datapath circuits , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Shekhar Y. Borkar,et al.  Design perspectives on 22nm CMOS and beyond , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[6]  Carl Ebeling,et al.  Exploration of pipelined FPGA interconnect structures , 2004, FPGA '04.

[7]  Miodrag Potkonjak,et al.  MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[8]  Wei Zhang,et al.  Low-power 3D nano/CMOS hybrid dynamically reconfigurable architecture , 2010, JETC.

[9]  Niraj K. Jha,et al.  Hierarchical test generation and design for testability methods for ASPPs and ASIPs , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Wei Zhang,et al.  A hybrid Nano/CMOS dynamically reconfigurable system—Part II: Design optimization flow , 2009, JETC.

[11]  Vaughn Betz,et al.  FPGA routing architecture: segmentation and buffering to optimize speed and density , 1999, FPGA '99.

[12]  Masahiko Yoshimoto,et al.  A 10T Non-Precharge Two-Port SRAM for 74% Power Reduction in Video Processing , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).

[13]  Jason Cong,et al.  FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  K. Wakabayashi,et al.  A dynamically reconfigurable logic engine with a multi-context/multi-mode unified-cell architecture , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).

[15]  Stephen Dean Brown,et al.  The case for registered routing switches in field programmable gate arrays , 2001, FPGA '01.

[16]  Wei Zhang,et al.  A hybrid nano/CMOS dynamically reconfigurable system—Part I: Architecture , 2009, JETC.

[17]  N. Miyamoto,et al.  A 1.6mm2 4,096 logic elements multi-context FPGA core in 90nm CMOS , 2008, 2008 IEEE Asian Solid-State Circuits Conference.

[18]  Vaughn Betz,et al.  VPR: A new packing, placement and routing tool for FPGA research , 1997, FPL.

[19]  André DeHon,et al.  Dynamically Programmable Gate Arrays: A Step Toward Increased Computational Density , 1996 .

[20]  Scott Hauck,et al.  The Chimaera reconfigurable functional unit , 1997, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[21]  Wei Zhang,et al.  SRAM-Based NATURE: A Dynamically Reconfigurable FPGA Based on 10T Low-Power SRAMs , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Steven Trimberger,et al.  A time-multiplexed FPGA , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).