Tolerating process variations in nanophotonic on-chip networks
暂无分享,去创建一个
[1] Oded Cohen,et al. Mode-locked silicon evanescent lasers. , 2007, Optics express.
[2] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[3] Alyssa B. Apsel,et al. Analysis of challenges for on-chip optical interconnects , 2009, GLSVLSI '09.
[4] Qianfan Xu,et al. Silicon microring resonators with 1.5-μm radius , 2008 .
[5] Venkatesh Akella,et al. Resilient microring resonator based photonic networks , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[6] M. Paniccia,et al. A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor , 2004, Nature.
[7] Christopher Batten,et al. Designing Chip-Level Nanophotonic Interconnection Networks , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[8] David J. Thomson,et al. Silicon optical modulators , 2010 .
[9] Jung Ho Ahn,et al. Devices and architectures for photonic chip-scale integration , 2009 .
[10] Christopher Batten,et al. Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[11] George Kurian,et al. ATAC: A 1000-core cache-coherent processor with on-chip optical network , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).
[12] J. Torrellas,et al. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.
[13] Yasuo Kokubun,et al. High UV Sensitivity of SiON Film and Its Application to Center Wavelength Trimming of Microring Resonator Filter , 2005, IEICE Trans. Electron..
[14] Masashi Abe,et al. Birefringence suppression of UV-induced refractive index with grooves in silica-based planar lightwave circuits , 2005 .
[15] R. Baets,et al. Trimming of silicon ring resonator by electron beam induced compaction and strain. , 2008, Optics express.
[16] Jie Sun,et al. Nanophotonic integration in state-of-the-art CMOS foundries. , 2011, Optics express.
[17] Venkatesh Akella,et al. Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[18] Alyssa B. Apsel,et al. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[19] Brian W. Kernighan,et al. AMPL: A Modeling Language for Mathematical Programming , 1993 .
[20] Dan-Xia Xu,et al. Polarization Control in Silicon Photonic Waveguide Components Using Cladding Stress Engineering , 2011 .
[21] N. Binkert,et al. Atomic Coherence: Leveraging nanophotonics to build race-free cache coherence protocols , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[22] Yasuo Kokubun,et al. UV trimming of polarization-independent microring resonator by internal stress and temperature control. , 2010, Optics express.
[23] Nevin Kirman,et al. A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS 2010.
[24] Christopher Batten,et al. Re-architecting DRAM memory systems with monolithically integrated silicon photonics , 2010, ISCA.
[25] Y. Kokubun,et al. Wide range center wavelength trimming of vertically coupled microring resonator filter by direct UV irradiation to SiN ring core , 2004, IEEE Photonics Technology Letters.
[26] Yu Cao,et al. Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[27] Xi Chen,et al. Reliability Modeling and Management of Nanophotonic On-Chip Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[28] David H. Albonesi,et al. Phastlane: a rapid transit optical routing network , 2009, ISCA '09.
[29] John Kim,et al. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[30] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[31] Mikko H. Lipasti,et al. Light speed arbitration and flow control for nanophotonic interconnects , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[32] José F. Martínez,et al. A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS XV.
[33] Scott Daniel Hector,et al. Critical dimension control in optical lithography , 2003 .
[34] Qianfan Xu,et al. Micrometre-scale silicon electro-optic modulator , 2005, Nature.
[35] Jun Yang,et al. A composite and scalable cache coherence protocol for large scale CMPs , 2011, ICS '11.
[36] Sani R. Nassif. Modeling and forecasting of manufacturing variations (embedded tutorial) , 2001, ASP-DAC '01.
[37] Ciyuan Qiu,et al. Wavelength tracking with thermally controlled silicon resonators. , 2011, Optics express.
[38] Christopher Batten,et al. Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.
[39] Chen Sun,et al. Addressing link-level design tradeoffs for integrated photonic interconnects , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).
[40] Sani R. Nassif. Modeling and forecasting of manufacturing variations , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.
[41] Ashok V. Krishnamoorthy,et al. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.
[42] Qianfan Xu,et al. 12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.
[43] S. J. B. Yoo. CMOS-compatible silicon photonic integrated systems in future computing and communication systems , 2010, OECC 2010 Technical Digest.