Fault Ordering for Automatic Test Pattern Generation of Reversible Circuits
暂无分享,去创建一个
[1] Robert Wille,et al. SyReC: A Programming Language for Synthesis of Reversible Circuits , 2010, FDL.
[2] John P. Hayes,et al. A Family of Logical Fault Models for Reversible Circuits , 2005, 14th Asian Test Symposium (ATS'05).
[3] Igor L. Markov,et al. Checking equivalence of quantum circuits and states , 2007, ICCAD 2007.
[4] D. Michael Miller,et al. Partially Redundant Logic Detection Using Symbolic Equivalence Checking in Reversible and Irreversible Logic Circuits , 2008, 2008 Design, Automation and Test in Europe.
[5] Gerhard W. Dueck,et al. A transformation based algorithm for reversible logic synthesis , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[6] Robert Wille,et al. Automatic design of low-power encoders using reversible circuit synthesis , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[7] Robert Wille,et al. ATPG for Reversible Circuits Using Simulation, Boolean Satisfiability, and Pseudo Boolean Optimization , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.
[8] John P. Hayes,et al. Testing for missing-gate faults in reversible circuits , 2004, 13th Asian Test Symposium.
[9] Robert Wille,et al. From Truth Tables to Programming Languages: Progress in the Design of Reversible Circuits , 2011, 2011 41st IEEE International Symposium on Multiple-Valued Logic.
[10] Robert Wille,et al. Reducing Reversible Circuit Cost by Adding Lines , 2010, 2010 40th IEEE International Symposium on Multiple-Valued Logic.
[11] Robert Wille,et al. SAT-based ATPG for reversible circuits , 2010, 2010 5th International Design and Test Workshop.
[12] Alexis De Vos,et al. A reversible carry-look-ahead adder using control gates , 2002, Integr..
[13] I. Chuang,et al. Experimental realization of Shor's quantum factoring algorithm using nuclear magnetic resonance , 2001, Nature.
[14] Robert Wille,et al. Property Checking of Quantum Circuits Using Quantum Multiple-Valued Decision Diagrams , 2012, RC.
[15] John P. Hayes,et al. Checking equivalence of quantum circuits and states , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[16] Robert Wille,et al. Synthesis of reversible circuits with minimal lines for large functions , 2012, 17th Asia and South Pacific Design Automation Conference.
[17] Rolf Drechsler,et al. Using a two-dimensional fault list for compact Automatic Test Pattern Generation , 2009, 2009 10th Latin American Test Workshop.
[18] Robert Wille,et al. RevLib: An Online Resource for Reversible Functions and Reversible Circuits , 2008, 38th International Symposium on Multiple Valued Logic (ismvl 2008).
[19] Tommaso Toffoli,et al. Reversible Computing , 1980, ICALP.
[20] Robert Wille,et al. BDD-based synthesis of reversible logic for large functions , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[21] Sy-Yen Kuo,et al. An XQDD-Based Verification Method for Quantum Circuits , 2008, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..
[22] E. Lutz,et al. Experimental verification of Landauer’s principle linking information and thermodynamics , 2012, Nature.
[23] Stefan Frehse,et al. RevKit: An Open Source Toolkit for the Design of Reversible Circuits , 2011, RC.
[24] Kewal K. Saluja,et al. An algorithm to reduce test application time in full scan designs , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.
[25] Stefan Frehse,et al. Debugging of Toffoli networks , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[26] M. Ray Mercer,et al. A new ATPG algorithm to limit test set size and achieve multiple detections of all faults , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[27] John P. Hayes,et al. Synthesis of reversible logic circuits , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[28] Thierry Paul,et al. Quantum computation and quantum information , 2007, Mathematical Structures in Computer Science.
[29] Irith Pomeranz,et al. Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[30] Vishwani D. Agrawal,et al. An Information Theoretic Approach to Digital Fault Testing , 1981, IEEE Transactions on Computers.