Network-On-Chip Topologies: Potentials, Technical Challenges, Recent Advances and Research Direction

Integration technology advancement has impacted the System-on-Chip (SoC) in which heterogeneous cores are supported on a single chip. Based on the huge amount of supported heterogeneous cores, efficient communication between the associated processors has to be considered at all levels of the system design to ensure global interconnection. This can be achieved through a design-friendly, flexible, scalable, and high-performance interconnection architecture. It is noteworthy that the interconnections between multiple cores on a chip present a considerable influence on the performance and communication of the chip design regarding the throughput, end-to-end delay, and packets loss ratio. Although hierarchical architectures have addressed the majority of the associated challenges of the traditional interconnection techniques, the main limiting factor is scalability. Network-on-Chip (NoC) has been presented as a scalable and well-structured alternative solution that is capable of addressing communication issues in the on-chip systems. In this context, several NoC topologies have been presented to support various routing techniques and attend to different chip architectural requirements. This book chapter reviews some of the existing NoC topologies and their associated characteristics. Also, application mapping algorithms and some key challenges of NoC are considered.

[1]  Vivek Kumar Sehgal,et al.  Master-based routing algorithm and communication-based cluster topology for 2D NoC , 2015, The Journal of Supercomputing.

[2]  B. Naresh Kumar Reddy,et al.  Performance constrained multi-application network on chip core mapping , 2019, Int. J. Speech Technol..

[3]  Dilip Kumar,et al.  Wishbone bus Architecture - A Survey and Comparison , 2012, VLSIC 2012.

[4]  Mário Lima,et al.  Spatial Light Modulation as a Flexible Platform for Optical Systems , 2019 .

[5]  Abhinav Sharma,et al.  The low-rate denial of service attack based comparative study of active queue management scheme , 2017, 2017 Tenth International Conference on Contemporary Computing (IC3).

[6]  L. Benini,et al.  Xpipes: a network-on-chip architecture for gigascale systems-on-chip , 2004, IEEE Circuits and Systems Magazine.

[7]  Rashid Ali,et al.  A Network Adaptive Fault-Tolerant Routing Algorithm for Demanding Latency and Throughput Applications of Network-on-a-Chip Designs , 2020, Electronics.

[8]  Liang Yang,et al.  Performance analysis and comparison of 2 × 4 network on chip topology , 2012, Microprocess. Microsystems.

[9]  Tobias Bjerregaard,et al.  A survey of research and practices of Network-on-chip , 2006, CSUR.

[10]  Ayas Kanta Swain,et al.  Performance assessment of different Network-on-Chip topologies , 2014, 2014 2nd International Conference on Devices, Circuits and Systems (ICDCS).

[11]  Abhijit Biswas,et al.  Networks on Chip: The New Trend of On-Chip Interconnection , 2014, 2014 Fourth International Conference on Communication Systems and Network Technologies.

[12]  Ravi Shankar,et al.  Survey of Network on Chip (NoC) Architectures & Contributions , 2009 .

[13]  Natalie D. Enright Jerger,et al.  DBAR: An efficient routing algorithm to support multiple concurrent applications in networks-on-chip , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[14]  Ran Ginosar,et al.  Cost considerations in network on chip , 2004, Integr..

[15]  Abhijit Biswas,et al.  A topology for network-on-chip , 2016, 2016 International Conference on Information Communication and Embedded Systems (ICICES).

[16]  Santanu Chattopadhyay,et al.  A survey on application mapping strategies for Network-on-Chip design , 2013, J. Syst. Archit..

[17]  António Teixeira,et al.  Enabling Optical Wired and Wireless Technologies for 5G and Beyond Networks , 2019, Telecommunication Systems - Principles and Applications of Wireless-Optical Technologies.

[18]  Dionisios N. Pnevmatikatos,et al.  Crossbar NoCs Are Scalable Beyond 100 Nodes , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  Shietung Peng,et al.  DUAL-CUBES: A NEW INTERCONNECTION NETWORK FOR HIGH-PERFORMANCE COMPUTER CLUSTERS , 2000 .

[20]  Rohita P. Patil,et al.  A Review of System-On-Chip Bus Protocols , 2015 .

[21]  Sung Won Kim,et al.  Performance Evaluation of Application Mapping Approaches for Network-on-Chip Designs , 2020, IEEE Access.

[22]  David Flynn,et al.  AMBA: enabling reusable on-chip designs , 1997, IEEE Micro.

[23]  Cheng Li,et al.  Network-on-Chip (NoC) Topologies and Performance: A Review , 2011 .

[24]  Haytham Elmiligi,et al.  Power optimization for application-specific networks-on-chips: A topology-based approach , 2009, Microprocess. Microsystems.

[25]  Eby G. Friedman,et al.  3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.