Generating Realistic Stimuli for Accurate Power Grid Analysis

Power distribution systems in integrated circuits are used to provide the voltages and currents the devices need to operate properly. As the semiconductor industry moves into deep nanometer nodes, problems like voltage drop, ground bounce and electromigration which may cause chip failures, are worsening, as more devices, operating at higher frequencies are placed closer together. Verification of a power distribution system is therefore paramount to silicon success. This type of verification is usually done by simulation, targeting a worst-case scenario, typically characterized by the almost simultaneous switching of several devices in the circuit. The definition of the worst-case situation is therefore crucial, since it influences the result of the simulation and ultimately the design target. Supposedly safe but unrealistic settings such as assuming that all signals switch simultaneously, could lead to costly over-designs in terms of die area. In this paper we describe a software tool that generates a reasonable, realistic, worst-case set of stimuli for simulation, based on timing and spatial restrictions that arise from the circuit's netlist and placement. Generating such stimuli is akin to performing a standard static timing analysis, as is done before signoff so the tool fits well within conventional design frameworks. The resulting stimuli indicates that only a fraction of the gates change in any given timing window, leading to a more robust verification methodology, specially in the dynamic case.

[1]  Farid N. Najm,et al.  Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[2]  Kwang-Ting Cheng,et al.  Fast statistical timing analysis by probabilistic event propagation , 2001, DAC '01.

[3]  Sani R. Nassif,et al.  Optimal decoupling capacitor sizing and placement for standard-cell layout designs , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Shen Lin,et al.  Challenges in power-ground integrity , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[5]  Farid N. Najm,et al.  Incremental partitioning-based vectorless power grid verification , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[6]  Sani R. Nassif,et al.  Fast power grid simulation , 2000, Proceedings 37th Design Automation Conference.

[7]  Rob A. Rutenbar,et al.  Early research experience with OpenAccess gear: an open source development environment for physical design , 2005, ISPD '05.

[8]  Sean Safarpour,et al.  Maximum circuit activity estimation using pseudo-boolean satisfiability , 2007 .

[9]  Thomas H. Krodel Power play-fast dynamic power estimation based on logic simulation , 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[10]  Maximum Circuit Activity Estimation Using Pseudo-Boolean Satisfiability , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Chenming Hu,et al.  Accurate in-situ measurement of peak noise and signal delay induced by interconnect coupling , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[12]  Farid N. Najm,et al.  Early power grid verification under circuit current uncertainties , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[13]  Paulo F. Flores,et al.  Generating Worst-Case Stimuli for Accurate Power Grid Analysis , 2008, PATMOS.

[14]  Farid N. Najm,et al.  A static pattern-independent technique for power grid voltage integrity verification , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[15]  Farid N. Najm On the need for statistical timing analysis , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[16]  Sarma B. K. Vrudhula,et al.  Analysis of Power Supply Noise in the Presence of Process Variations , 2007, IEEE Design & Test of Computers.

[17]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Martin D. F. Wong,et al.  Fast algorithms for IR drop analysis in large power grid , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[19]  Imad A. Ferzli,et al.  Statistical verification of power grids considering process-induced leakage current variations , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[20]  Kaushik Roy,et al.  Dynamic noise analysis with capacitive and inductive coupling [high-speed circuits] , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[21]  Yu Cao,et al.  Accurate in situ measurement of peak noise and delay change induced by interconnect coupling , 2001 .

[22]  Rajendran Panda,et al.  Stochastic power grid analysis considering process variations , 2005, Design, Automation and Test in Europe.