On-Fly-TOD: an efficient mechanism for crosstalk fault reduction in WNoC
暂无分享,去创建一个
[1] Naresh R. Shanbhag,et al. Coding for reliable on-chip buses: a class of fundamental bounds and practical codes , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Jason Cong,et al. A scalable micro wireless interconnect structure for CMPs , 2009, MobiCom '09.
[3] Partha Pratim Pande,et al. Energy reduction through crosstalk avoidance coding in networks on chip , 2008, J. Syst. Archit..
[4] Seyed Ghassem Miremadi,et al. An efficient numerical-based crosstalk avoidance codec design for NoCs , 2017, Microprocess. Microsystems.
[5] Xuebin Wu,et al. Efficient CODEC Designs for Crosstalk Avoidance Codes Based on Numeral Systems , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[6] Amirhossein Nikoofard,et al. AM3D: An accurate crosstalk probability modeling to predict channel delay in 3D ICs , 2019 .
[7] Urs Niesen,et al. Joint Crosstalk-Avoidance and Error-Correction Coding for Parallel Data Buses , 2019, IEEE Transactions on Information Theory.
[8] Emre Salman,et al. Shielding Methodologies in the Presence of Power/Ground Noise , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[9] Ieee Circuits,et al. IEEE/ACM International Conference on Computer Aided Design, ICCAD-2001, a conference for the EE CAD professional, November 4-8, 2001, Doubletree Hotel, San Jose, CA , 2001 .
[10] Seyed Ghassem Miremadi,et al. PAM: A Packet Manipulation Mechanism for Mitigating Crosstalk Faults in NoCs , 2015, 2015 IEEE International Conference on Computer and Information Technology; Ubiquitous Computing and Communications; Dependable, Autonomic and Secure Computing; Pervasive Intelligence and Computing.
[11] Elfed Lewis,et al. FPGA based Real time 'secure' body temperature monitoring suitable for WBSN 2015 IEEE International Conference on Computer and Information Technology; Ubiquitous Computing and Communications; Dependable, Autonomic and Secure Computing; Pervasive Intelligence and Computing , 2015 .
[12] Seyed Ghassem Miremadi,et al. Using binary-reflected gray coding for crosstalk mitigation of network on chip , 2013, The 17th CSI International Symposium on Computer Architecture & Digital Systems (CADS 2013).
[13] Seyed Ghassem Miremadi,et al. Addressing NoC Reliability Through an Efficient Fibonacci-Based Crosstalk Avoidance Codec Design , 2015, ICA3PP.
[14] Seyed Ghassem Miremadi,et al. Crosstalk avoidance coding for reliable data transmission of network on chips , 2013, 2013 International Symposium on System on Chip (SoC).
[15] Bharathi Subramaniam,et al. Crosstalk minimization in network on chip (NoC) links with dual binary weighted code CODEC , 2020 .
[16] Madhu Mutyam,et al. Preventing crosstalk delay using Fibonacci representation , 2004, 17th International Conference on VLSI Design. Proceedings..
[17] Partha Pratim Pande,et al. Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[18] Zahra Shirmohammadi. OP-Fibo: An efficient Forbidden Pattern Free CAC design , 2019, Integr..
[19] Kurt Keutzer,et al. Bus encoding to prevent crosstalk delay , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[20] Cecilia Metra,et al. Low-Cost Strategy for Bus Propagation Delay Reduction , 2019, J. Electron. Test..
[21] Sachin S. Sapatnekar,et al. Simultaneous shield and buffer insertion for crosstalk noise reduction in global routing , 2007, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[22] Bo Fu,et al. Error Control for Network-on-Chip Links , 2011 .
[23] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[24] Z. Shirmohammadi,et al. S2AP: An efficient numerical-based crosstalk avoidance code for reliable data transfer of NoCs , 2015, 2015 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC).
[25] Andrew B. Kahng,et al. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[26] Chunjie Duan,et al. Efficient On-Chip Crosstalk Avoidance CODEC Design , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.