Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges

Plasma-assisted atomic layer deposition (ALD) is an energy-enhanced method for the synthesis of ultra-thin films with A-level resolution in which a plasma is employed during one step of the cyclic deposition process. The use of plasma species as reactants allows for more freedom in processing conditions and for a wider range of material properties compared with the conventional thermally-driven ALD method. Due to the continuous miniaturization in the microelectronics industry and the increasing relevance of ultra-thin films in many other applications, the deposition method has rapidly gained popularity in recent years, as is apparent from the increased number of articles published on the topic and plasma-assisted ALD reactors installed. To address the main differences between plasma-assisted ALD and thermal ALD, some basic aspects related to processing plasmas are presented in this review article. The plasma species and their role in the surface chemistry are addressed and different equipment configuratio...

[1]  Jane P. Chang,et al.  Development of hafnium based high-k materials—A review , 2011 .

[2]  Malgorzata Jurczak,et al.  A PEALD Tunnel Dielectric for Three-Dimensional Non-Volatile Charge-Trapping Technology , 2011 .

[3]  Harri Lipsanen,et al.  Properties of AlN grown by plasma enhanced atomic layer deposition , 2011 .

[4]  Hyoungsub Kim,et al.  Interfacial self-Cleaning during PEALD HfO2 process on GaAs using TDMAH/O2 with different (NH4)2S cleaning time , 2011 .

[5]  C. Detavernier,et al.  TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition , 2011 .

[6]  Seong‐Hyeon Hong,et al.  Structural characteristics of epitaxial SnO2 films deposited on a- and m-cut sapphire by ALD , 2011 .

[7]  Se Stephen Potts,et al.  Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection , 2011 .

[8]  Jeffrey W. Elam,et al.  Surface loss in ozone-based atomic layer deposition processes , 2011 .

[9]  F. Roozeboom,et al.  Patterned deposition by plasma enhanced spatial atomic layer deposition , 2011 .

[10]  F. Pan,et al.  In Situ Two-Step Plasma Enhanced Atomic Layer Deposition of Ru/RuNx Barriers for Seedless Copper Electroplating , 2011 .

[11]  W. Kessels,et al.  Ion and Photon Surface Interaction during Remote Plasma ALD of Metal Oxides , 2011 .

[12]  Jae Hong Kim,et al.  Effects of the substrate temperature on the Cu seed layer formed using atomic layer deposition , 2011 .

[13]  O. Nayfeh,et al.  Impact of Plasma-Assisted Atomic-Layer-Deposited Gate Dielectric on Graphene Transistors , 2011, IEEE Electron Device Letters.

[14]  Yoshio Nishi,et al.  Changes to Charge and Defects in Dielectrics from Ion and Photon Fluences during Plasma Exposure , 2011 .

[15]  E. Eisenbraun,et al.  Silicide-induced multi-wall carbon nanotube growth on silicon nanowires , 2011, Nanotechnology.

[16]  F. Roozeboom,et al.  Atomic layer deposition of Ru from CpRu(CO)2Et using O2 gas and O2 plasma , 2011 .

[17]  F. Roozeboom,et al.  Remote plasma ALD of SrTiO3 using cyclopentadienlyl-based Ti and Sr precursors , 2011 .

[18]  Hyungjun Kim,et al.  The properties of plasma-enhanced atomic layer deposition (ALD) ZnO thin films and comparison with thermal ALD , 2011 .

[19]  J. Klootwijk,et al.  Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films , 2011 .

[20]  C. Detavernier,et al.  Embedding Quantum Dot Monolayers in Al2O3 Using Atomic Layer Deposition , 2011 .

[21]  H. Jeon,et al.  The effects of RF power on the interfacial property between Al2O3 and Si3N4 and on the memory property in a MANOS structure , 2010 .

[22]  Hcm Harm Knoops,et al.  Conformality of Plasma-Assisted ALD: Physical Processes and Modeling , 2010 .

[23]  S. Rhee,et al.  Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane/Hydrogen Gas , 2010 .

[24]  Seung-Youl Kang,et al.  Fabrication of self-aligned TFTs with a ultra-low temperature polycrystalline silicon process on metal foils , 2010 .

[25]  J. Myoung,et al.  Growth characteristics and electrical properties of La2O3 gate oxides grown by thermal and plasma-enhanced atomic layer deposition , 2010 .

[26]  Jin-seong Park,et al.  Investigating the TiN Film Quality and Growth Behavior for Plasma-enhanced Atomic Layer Deposition Using TiCl$_4$ and N$_2$/H$_2$/Ar Radicals , 2010 .

[27]  Suman Datta,et al.  Fermi level unpinning of GaSb (100) using plasma enhanced atomic layer deposition of Al2O3 , 2010 .

[28]  Yongchan Kim,et al.  Effects of an Al_2O_3 capping layer on La_2O_3 deposited by remote plasma atomic layer deposition , 2010 .

[29]  A. Aierken,et al.  GaAs surface passivation by plasma-enhanced atomic-layer-deposited aluminum nitride , 2010 .

[30]  C. Detavernier,et al.  Texture of atomic layer deposited ruthenium , 2010 .

[31]  C. Wolden,et al.  Self-limiting growth of anatase TiO2: A comparison of two deposition techniques , 2010 .

[32]  Sumit Agarwal,et al.  Surface reaction mechanisms during ozone and oxygen plasma assisted atomic layer deposition of aluminum oxide. , 2010, Langmuir : the ACS journal of surfaces and colloids.

[33]  Jong-Wan Park,et al.  Titanium Oxide Thin Films Prepared by Plasma Enhanced Atomic Layer Deposition Using Remote Electron Cyclotron Resonance Plasma for Organic Devices Passivation , 2010 .

[34]  Han-Bo-Ram Lee,et al.  Self-formation of dielectric layer containing CoSi2 nanocrystals by plasma-enhanced atomic layer deposition , 2010 .

[35]  P. Johnson,et al.  Lyman–Birge–Hopfield emissions from electron-impact excited N2 , 2010 .

[36]  Se Stephen Potts,et al.  Plasma-Enhanced ALD of TiO2 Using a Novel Cyclopentadienyl Alkylamido Precursor [Ti(CpMe)(NMe2)3] and O2 Plasma , 2010 .

[37]  L. Frey,et al.  Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application , 2010 .

[38]  C. Hwang,et al.  Electrical Characterization of Metal-Insulator-Semiconductor Capacitors Having Double-Layered Atomic-Layer-Deposited Al2O3 and ZnO for Transparent Thin Film Transistor Applications , 2010 .

[39]  C. Park,et al.  Photocatalytic activities of TiO2 thin films prepared on Galvanized Iron substrate by plasma-enhanced atomic layer deposition , 2010 .

[40]  H. Kim,et al.  High-Quality Low-Temperature Silicon Oxide by Plasma-Enhanced Atomic Layer Deposition Using a Metal–Organic Silicon Precursor and Oxygen Radical , 2010, IEEE Electron Device Letters.

[41]  Seong‐Hyeon Hong,et al.  Gas sensing properties in epitaxial SnO2 films grown on TiO2 single crystals with various orientations , 2010 .

[42]  Hyungjun Kim,et al.  Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor , 2010 .

[43]  C. Park,et al.  Plasma-Enhanced Atomic Layer Deposition of Ni , 2010 .

[44]  Y. Nishi,et al.  Effects of vacuum ultraviolet and ultraviolet irradiation on ultrathin hafnium-oxide dielectric layers on "100…Si as measured with electron-spin resonance , 2010 .

[45]  Jin-seong Park,et al.  The Effects of UV Exposure on Plasma-Enhanced Atomic Layer Deposition ZnO Thin Film Transistor , 2010 .

[46]  H. Lee,et al.  Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition , 2010 .

[47]  Se Stephen Potts,et al.  Bis(cyclopentadienyl) zirconium(IV) amides as possible precursors for low pressure CVD and plasma-enhanced ALD , 2010 .

[48]  L. Frey,et al.  Evaluation of NbN thin films grown by MOCVD and plasma-enhanced ALD for gate electrode application in high-k/SiO2 gate stacks , 2010 .

[49]  Yongchan Kim,et al.  The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD , 2010 .

[50]  C. Detavernier,et al.  Conformality of Al2O3 and AlN Deposited by Plasma-Enhanced Atomic Layer Deposition , 2010 .

[51]  Sung-Yool Choi,et al.  A low-temperature-grown TiO2-based device for the flexible stacked RRAM application , 2010, Nanotechnology.

[52]  G. Dingemans,et al.  Role of field-effect on c-Si surface passivation by ultrathin (2–20 nm) atomic layer deposited Al2O3 , 2010 .

[53]  T. Jackson,et al.  Self-Aligned-Gate ZnO TFT Circuits , 2010, IEEE Electron Device Letters.

[54]  T. Jackson,et al.  Fast Flexible Plastic Substrate ZnO Circuits , 2010, IEEE Electron Device Letters.

[55]  V. Narayanan,et al.  Oxygen migration in TiO2-based higher-k gate stacks , 2010 .

[56]  J. Lim,et al.  Stabilization of Al2O3 gate oxide on plastic substrate for low temperature poly-silicon by in situ plasma treatment , 2010 .

[57]  G. Dingemans,et al.  Influence of the Deposition Temperature on the c-Si Surface Passivation by Al2O3 Films Synthesized by ALD and PECVD , 2010 .

[58]  T. M. Lee,et al.  Influence of annealing duration on optical property and surface morphology of ZnO thin film grown by atomic layer deposition , 2010 .

[59]  C. Hwang,et al.  Substrate Dependent Growth Rate of Plasma-Enhanced Atomic Layer Deposition of Titanium Oxide Using N2O Gas , 2010 .

[60]  C. Chang,et al.  Effect of Surface Reduction Treatments of Plasma-Enhanced Atomic Layer Chemical Vapor Deposited TaN x on Adhesion with Copper , 2010 .

[61]  T. Jackson,et al.  Fast PEALD ZnO Thin-Film Transistor Circuits , 2010, IEEE Transactions on Electron Devices.

[62]  Wmm Erwin Kessels,et al.  Silicon surface passivation by ultrathin Al2O3 films synthesized by thermal and plasma atomic layer deposition , 2010 .

[63]  I. Hwang,et al.  Degradation of the Deposition Blocking Layer During Area-Selective Plasma-Enhanced Atomic Layer Deposition of Cobalt , 2010 .

[64]  N. Kim,et al.  Multiferroic Properties of Bismuth Layer Structured Bi3.25La0.75Ti3O12-(La0.7 Sr0.3)MnO3 Solid Solution at Low Temperature , 2010 .

[65]  S. Yun,et al.  Optical and Electrical Properties of AlxTi1-xO Films , 2010 .

[66]  F. Roozeboom,et al.  Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications , 2009 .

[67]  Mireille Maenhoudt,et al.  Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP) , 2009, Lithography Asia.

[68]  Martin Moskovits,et al.  Chemical corrosion protection of optical components using atomic layer deposition. , 2009, Applied optics.

[69]  B. Hintze,et al.  Properties of Plasma-Enhanced Atomic Layer Deposition-Grown Tantalum Carbonitride Thin Films , 2009 .

[70]  K. G. Dong Mono-Energetic Neutron Source by 7 Li(p,n) 7 Be Reaction , 2009 .

[71]  Tomohiro Yamashita,et al.  Low-Temperature Silicon Oxide Offset Spacer Using Plasma-Enhanced Atomic Layer Deposition for High-k/Metal Gate Transistor , 2009 .

[72]  J. Son,et al.  Photocatalytic functional coatings of TiO2 thin films on polymer substrate by plasma enhanced atomic layer deposition , 2009 .

[73]  V. Omarjee,et al.  Advanced Precursor Development for Sr and Ti Based Oxide Thin Film Applications , 2009 .

[74]  J. Ha,et al.  Interface Properties of Nickel-silicide Films Deposited by Using Plasma-assisted Atomic Layer Deposition , 2009 .

[75]  E. Eisenbraun,et al.  Integration of Atomic Layer Deposition-Grown Copper Seed Layers for Cu Electroplating Applications , 2009 .

[76]  C. Hwang,et al.  Plasma-Enhanced Atomic Layer Deposition of TiO2 and Al-Doped TiO2 Films Using N2O and O2 Reactants , 2009 .

[77]  Min-Ki Ryu,et al.  Bipolar resistive switching in amorphous titanium oxide thin film , 2009, 0908.3525.

[78]  C. Wolden,et al.  Plasma-Enhanced Atomic Layer Deposition of Anatase TiO2 Using TiCl4 , 2009 .

[79]  C. G. Park,et al.  HfO2 / HfO x N y / HfO2 Gate Dielectric Fabricated by In Situ Oxidation of Plasma-Enhanced Atomic Layer Deposition HfN Middle Layer , 2009 .

[80]  Hcm Harm Knoops,et al.  Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy , 2009 .

[81]  S. Van den Berghe,et al.  Comparison of Thermal and Plasma-Enhanced ALD/CVD of Vanadium Pentoxide , 2009 .

[82]  G. Pourtois,et al.  Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning (Invited Paper) , 2009 .

[83]  S. Agarwal,et al.  Surface Reaction Mechanisms during Plasma-Assisted Atomic Layer Deposition of Titanium Dioxide , 2009 .

[84]  Christoph Adelmann,et al.  High-k dielectrics for future generation memory devices (Invited Paper) , 2009 .

[85]  Phl Peter Notten,et al.  Remote plasma ALD of platinum and platinum oxide films , 2009 .

[86]  C. Detavernier,et al.  Ru thin film grown on TaN by plasma enhanced atomic layer deposition , 2009 .

[87]  C. Wolden,et al.  Self-limiting deposition of semiconducting ZnO by pulsed plasma-enhanced chemical vapor deposition , 2009 .

[88]  Soo Hong Lee,et al.  Fabrication and properties of A1N film on GaN substrate by using remote plasma atomic layer deposition method , 2009 .

[89]  D. Cameron,et al.  Plasma-Assisted Atomic Layer Deposition of Al 2 O 3 at Room Temperature , 2009 .

[90]  Jong-Wan Park,et al.  Characteristics of high-k dielectric ECR-ALD lanthanum hafnium oxide (LHO) films , 2009 .

[91]  Seunghyup Yoo,et al.  Performance Improvement of N-Type $\hbox{TiO}_{x}$ Active-Channel TFTs Grown by Low-Temperature Plasma-Enhanced ALD , 2009, IEEE Electron Device Letters.

[92]  Seung Wook Ryu,et al.  Influence of Substrates on the Nucleation and Growth Behaviors of Ge2Sb2Te5 Films by Combined Plasma-Enhanced Atomic Layer and Chemical Vapor Deposition , 2009 .

[93]  J. Son,et al.  Effects of Fluorine Plasma Treatment on the Electronic Structure of Plasma-Enhanced Atomic Layer Deposition HfO2 , 2009 .

[94]  E. Eisenbraun,et al.  Atomic layer deposition growth of a novel mixed-phase barrier for seedless copper electroplating applications , 2009 .

[95]  Y. Nishi,et al.  Effect of thermal annealing on charge exchange between oxygen interstitial defects within HfO2 and oxygen-deficient silicon centers within the SiO2/Si interface , 2009 .

[96]  C. Detavernier,et al.  Modeling the Conformality of Atomic Layer Deposition: The Effect of Sticking Probability , 2009 .

[97]  A. Franquet,et al.  Thermal and Plasma Enhanced Atomic Layer Deposition of Al2O3 on GaAs Substrates , 2009 .

[98]  E. Eisenbraun,et al.  Development of plasma-enhanced atomic layer deposition grown Ru–WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applications , 2009 .

[99]  S. Yeom,et al.  Atomic Layer Deposition of Copper Seed Layers from a (hfac)Cu(VTMOS) Precursor , 2009 .

[100]  S. Rossnagel,et al.  Plasma Modeling of a PEALD System for the Deposition of TiO2 and HfO2 , 2009 .

[101]  Jin-seong Park,et al.  Enhancement of the TiO2 Thin-Film Dielectric Constant Through Pretreatment of Ir Substrate , 2009 .

[102]  C. Wolden,et al.  Plasma-Enhanced Atomic Layer Deposition of Semiconductor Grade ZnO Using Dimethyl Zinc† , 2009 .

[103]  S. Yoo,et al.  Improvement of On–Off-Current Ratio in $ \hbox{TiO}_{\rm x}$ Active-Channel TFTs Using $\hbox{N}_{2} \hbox{O}$ Plasma Treatment , 2009, IEEE Electron Device Letters.

[104]  Kwang Ho Kim,et al.  Fabrication and Properties of GaN MIS Capacitors with a Remote-Plasma Atomic-Layer-Deposited Al2O3 Gate Dielectric , 2009 .

[105]  J. Roh,et al.  Enhanced Dielectric Properties of SrTiO3 Films with a SrRuO3 Seed by Plasma-Enhanced Atomic Layer Deposition , 2009 .

[106]  D. Ahn,et al.  Effects of Confinement on the Valley Splitting of Si Quantum Structures , 2008 .

[107]  Jong-Wan Park,et al.  Comparison of the Deposition Characteristics and Electrical Properties for La2O3, HfO2 and LHO Films , 2008 .

[108]  Phl Peter Notten,et al.  Deposition of TiN and TaN by Remote Plasma ALD for Cu and Li Diffusion Barrier Applications , 2008 .

[109]  Seokhoon Kim,et al.  Characteristics of Thin Hf-Silicate Gate Dielectrics after Remote N2 and N2O Plasma Post-Treatments , 2008 .

[110]  C. Park,et al.  Spontaneous formation of vertical magnetic-metal-nanorod arrays during plasma-enhanced atomic layer deposition. , 2008, Small.

[111]  Imp Igor Aarts,et al.  Optical second-harmonic generation in thin film systems , 2008 .

[112]  S. Rhee,et al.  Formation of Tantalum Carbide and Nitride Phases in Atomic Layer Deposition Using Hydrogen Plasma and tert‐Butylimido‐tris(diethylamido)‐tantalum (TBTDET), and its Effect on Material Properties , 2008 .

[113]  Seong-Jun Jeong,et al.  Improvement of Copper Diffusion Barrier Properties of Tantalum Nitride Films by Incorporating Ruthenium Using PEALD , 2008 .

[114]  Jin-seong Park,et al.  Improvement of Morphological Stability of PEALD-Iridium Thin Films by Adopting Two-Step Annealing Process , 2008 .

[115]  M. Ritala,et al.  Atomic Layer Deposition of Platinum Oxide and Metallic Platinum Thin Films from Pt(acac)2 and Ozone , 2008 .

[116]  J. Roh,et al.  Effect of Sr–Ruthenate Seed Layer on Dielectric Properties of SrTiO3 Thin Films Prepared by Plasma-Enhanced Atomic Layer Deposition , 2008 .

[117]  C. Detavernier,et al.  Diffusion barrier properties of TaNx films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma , 2008 .

[118]  S. Rhee,et al.  Phase Formation in the Tantalum Carbonitride Film Deposited with Atomic Layer Deposition Using Ammonia , 2008 .

[119]  Youngdo Won,et al.  The reaction pathways of the oxygen plasma pulse in the hafnium oxide atomic layer deposition process , 2008 .

[120]  W. Maeng,et al.  The effects of nitrogen profile and concentration on negative bias temperature instability of plasma enhanced atomic layer deposition HfOxNy prepared by in situ nitridation , 2008 .

[121]  Qi Xie,et al.  Growth Kinetics and Crystallization Behavior of TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition , 2008 .

[122]  Ki-Bum Kim,et al.  Atomic Layer Deposition of Ru Nanocrystals with a Tunable Density and Size for Charge Storage Memory Device Application , 2008 .

[123]  C. Wolden,et al.  An Analysis of the Deposition Mechanisms involved during Self-Limiting Growth of Aluminum Oxide by Pulsed PECVD† , 2008 .

[124]  Jong-Wan Park,et al.  Titanium dioxide thin films deposited by plasma enhanced atomic layer deposition for OLED passivation. , 2008, Journal of nanoscience and nanotechnology.

[125]  Jane P. Chang,et al.  Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition , 2008 .

[126]  M. Ishida,et al.  Characteristics of Metal–Oxide–Semiconductor Field-Effect Transistors with HfO2/SiO2/Si and HfO2/SiOxNy/Si Stack Structures Formed by Remote Plasma Technique , 2008 .

[127]  S. Yun,et al.  Optical AlxTi1-xOy Films Grown by Plasma Enhanced Atomic Layer Deposition , 2008 .

[128]  M. Gros-Jean,et al.  Low-Temperature Low-Resistivity PEALD TiN Using TDMAT under Hydrogen Reducing Ambient , 2008 .

[129]  Zhao Shu-xia,et al.  Characterization of Nitrogen Glow Discharge Plasma via Optical Emission Spectrum Simulation , 2008 .

[130]  Steven M. George,et al.  Tantalum Nitride Atomic Layer Deposition Using (tert-Butylimido)tris(diethylamido)tantalum and Hydrazine , 2008 .

[131]  Wmm Erwin Kessels,et al.  Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy , 2008 .

[132]  Sbs Stephan Heil,et al.  Reaction mechanisms during plasma-assisted atomic layer deposition of metal oxides : a case study for Al2O3 , 2008 .

[133]  E. Eisenbraun,et al.  Effects of Hydrogen Plasma Treatments on the Atomic Layer Deposition of Copper , 2008 .

[134]  Se‐Hun Kwon,et al.  Initial Stages of Ruthenium Film Growth in Plasma-Enhanced Atomic Layer Deposition , 2008 .

[135]  Sbs Stephan Heil,et al.  Plasma-assisted atomic layer deposition of Ta2O5 from alkylamide precursor and remote O2 plasma , 2008 .

[136]  Seokhoon Kim,et al.  Atomic Layer Deposition of HfO2 Thin Films on Ultrathin SiO2 Formed by Remote Plasma Oxidation , 2008 .

[137]  W. Maeng,et al.  Electrical Properties of Atomic Layer Deposition HfO2 and HfO x N y on Si Substrates with Various Crystal Orientations , 2008 .

[138]  E. Eisenbraun,et al.  Plasma-Assisted Atomic Layer Deposition of Conductive Hafnium Nitride Using Tetrakis(ethylmethylamino)hafnium for CMOS Gate Electrode Applications , 2008 .

[139]  C. Shan,et al.  Corrosion resistance of TiO2 films grown on stainless steel by atomic layer deposition , 2008 .

[140]  Jeong Hwan Kim,et al.  Improved electrical performances of plasma-enhanced atomic layer deposited TaCxNy films by adopting Ar/H2 plasma , 2007 .

[141]  Byoung-Chul Shin,et al.  GROWTH TEMPERATURE DEPENDENCE OF Ga2O3 THIN FILMS DEPOSITED BY PLASMA ENHANCED ATOMIC LAYER DEPOSITION , 2007 .

[142]  E. Eisenbraun,et al.  Hydrogen plasma-enhanced atomic layer deposition of copper thin films , 2007 .

[143]  Ji‐Hoon Ahn,et al.  Applicability of Step-Coverage Modeling to TiO2 Thin Films in Atomic Layer Deposition , 2007 .

[144]  Seokhoon Kim,et al.  Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures , 2007 .

[145]  Jong-Wan Park,et al.  Enhancement of the Electrical Properties of GZO Thin Films on Polycarbonate Substrates by Using a TiO2 Buffer Layer , 2007 .

[146]  S. Yun,et al.  Characteristics of Al x Ti1 − x O y Films Grown by Plasma-Enhanced Atomic Layer Deposition , 2007 .

[147]  Hcm Harm Knoops,et al.  Synthesis and in situ characterization of low-resistivity TaNx films by remote plasma atomic layer deposition , 2007 .

[148]  C. Detavernier,et al.  Atomic layer deposition of TiO2 from tetrakis-dimethyl-amido titanium or Ti isopropoxide precursors and H2O , 2007 .

[149]  S. Yun,et al.  Characteristics of Pentacene Thin Film Transistor with Al2O3 Gate Dielectrics on Plastic Substrate , 2007 .

[150]  S. Yeom,et al.  Characteristics of Ti -Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl , 2007 .

[151]  P. Altermatt,et al.  Excellent passivation of highly doped p-type Si surfaces by the negative-charge-dielectric Al2O3 , 2007 .

[152]  H. Kim,et al.  Atomic scale nitrogen depth profile control during plasma enhanced atomic layer deposition of high k dielectrics , 2007 .

[153]  J. Roh,et al.  Increment of dielectric properties of SrTiO3 thin films by SrO interlayer on Ru bottom electrodes , 2007 .

[154]  Sbs Stephan Heil,et al.  Deposition of TiN and HfO2 in a commercial 200 mm remote plasma atomic layer deposition reactor , 2007 .

[155]  Yangdo Kim,et al.  Barrier Characteristics of ZrN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Using Tetrakis(diethylamino)zirconium Precursor , 2007 .

[156]  Wmm Erwin Kessels,et al.  Plasma and thermal ALD of Al2O3 in a commercial 200 mm ALD reactor , 2007 .

[157]  Kang L. Wang,et al.  Optical properties of Y2O3 thin films doped with spatially controlled Er3+ by atomic layer deposition , 2007 .

[158]  Byoung-Chul Shin,et al.  Growth Temperature Dependence of TiO2 Thin Films Prepared by Using Plasma-Enhanced Atomic Layer Deposition Method , 2007 .

[159]  Sang-Won Kang,et al.  Interface effect on dielectric constant of HfO2∕Al2O3 nanolaminate films deposited by plasma-enhanced atomic layer deposition , 2007 .

[160]  Mann-Ho Cho,et al.  Thermodynamic properties and interfacial layer characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition , 2007 .

[161]  Han-Bo-Ram Lee,et al.  Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co , 2007 .

[162]  J. Myoung,et al.  Comparative Studies of Atomic Layer Deposition and Plasma-Enhanced Atomic Layer Deposition Ta2O5 and the Effects on Electrical Properties of In situ Nitridation , 2007 .

[163]  M. Ritala,et al.  Radical Enhanced Atomic Layer Deposition of Tantalum Oxide , 2007 .

[164]  S. Yeom,et al.  Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO)2 Precursor , 2007 .

[165]  K. Saraswat,et al.  High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications , 2007 .

[166]  H. Jeon,et al.  Characteristics of cobalt thin films deposited by remote plasma ALD method with dicobalt octacarbonyl , 2007 .

[167]  S. Yun,et al.  Pentacene-Thin Film Transistors with ZrO2 Gate Dielectric Layers Deposited by Plasma-Enhanced Atomic Layer Deposition , 2007 .

[168]  S. Yeom,et al.  Comparison of Co Films Deposited by Remote Plasma Atomic Layer Deposition Method with Cyclopentadienylcobalt Dicarbonyl [CpCo(CO)2] and Dicobalt Octacarbonyl [Co2(CO)8] , 2007 .

[169]  Daeil Kim,et al.  GeSbTe deposition for the PRAM application , 2007 .

[170]  J. L. Shohet,et al.  Comparison of the vacuum-ultraviolet radiation response of HfO2∕SiO2∕Si dielectric stacks with SiO2∕Si , 2007 .

[171]  Seokhoon Kim,et al.  Effect of Buffer Layer for HfO2 Gate Dielectrics Grown by Remote Plasma Atomic Layer Deposition , 2007 .

[172]  S. Yoon,et al.  Electrical properties of Ga2O3-based dielectric thin films prepared by plasma enhanced atomic layer deposition (PEALD) , 2006 .

[173]  Sang-Won Kang,et al.  Enhancement of dielectric constant in HfO2 thin films by the addition of Al2O3 , 2006 .

[174]  Byoung-Chul Shin,et al.  Ga2O3 THIN FILM DEPOSITED BY ATOMIC LAYER DEPOSITION WITH HIGH PLASMA POWER , 2006 .

[175]  Won-Jae Lee,et al.  NANOMIXED TiO2-Ga2O3 THIN FILMS GROWN BY PLASMA ENHANCED ATOMIC LAYER DEPOSITION (PEALD) METHOD , 2006 .

[176]  Hyeongnam Kim,et al.  High-Quality Cobalt Thin Films by Plasma-Enhanced Atomic Layer Deposition , 2006 .

[177]  H. Chung,et al.  Plasma-Enhanced Atomic Layer Deposition of TaN Thin Films Using Tantalum-Pentafluoride and N2 ∕ H2 ∕ Ar Plasma , 2006 .

[178]  Wmm Erwin Kessels,et al.  Low-Temperature Deposition of TiN by Plasma-Assisted Atomic Layer Deposition , 2006 .

[179]  Bo-Hye Kim,et al.  Preparation of taN thin film by H2 plasma assisted atomic layer deposition using tert-butylimino-tris-ethylmethylamino tantalum. , 2006, Journal of nanoscience and nanotechnology.

[180]  S. Yun,et al.  Performance Improvement of Ultralow Temperature Polycrystalline Silicon TFT on Plastic Substrate by Plasma Oxidation of Polycrystalline Si Surface , 2006, IEEE Electron Device Letters.

[181]  Kang L. Wang,et al.  Nanostructure and temperature-dependent photoluminescence of Er-doped Y2O3 thin films for micro-optoelectronic integrated circuits , 2006 .

[182]  Chi-Sun Hwang,et al.  Characteristics of ZnO Thin Films by Means of Plasma-Enhanced Atomic Layer Deposition , 2006 .

[183]  Sbs Stephan Heil,et al.  In situ reaction mechanism studies of plasma-assisted atomic layer deposition of Al2O3 , 2006 .

[184]  W. Maeng,et al.  Atomic layer deposition of Ta-based thin films: Reactions of alkylamide precursor with various reactants , 2006 .

[185]  Y. Jeon,et al.  Atomic Layer Deposition of La2O3 Thin Films by Using an Electron Cyclotron Resonance Plasma Source , 2006 .

[186]  Jin-seong Park,et al.  Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing , 2006 .

[187]  H. Waard,et al.  Nanochemistry, nanostructure, and electrical properties of Ta2O5 film deposited by atomic layer deposition and plasma-enhanced atomic layer deposition , 2006 .

[188]  E Erik Langereis,et al.  Plasma-assisted atomic layer deposition of Al2O3 moisture permeation barriers on polymers , 2006 .

[189]  C. Brinker,et al.  Nanometer-thick conformal pore sealing of self-assembled mesoporous silica by plasma-assisted atomic layer deposition. , 2006, Journal of the American Chemical Society.

[190]  Do‐Heyoung Kim,et al.  Highly Conductive HfN x Films Prepared by Plasma-Assisted Atomic Layer Deposition , 2006 .

[191]  Sbs Stephan Heil,et al.  In situ spectroscopic ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer deposition , 2006 .

[192]  Wmm Erwin Kessels,et al.  Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3 , 2006 .

[193]  Jane P. Chang,et al.  Er coordination in Y2O3 thin films studied by extended x-ray absorption fine structure , 2006 .

[194]  Steven M. George,et al.  Ca test of Al2O3 gas diffusion barriers grown by atomic layer deposition on polymers , 2006 .

[195]  Yangdo Kim,et al.  Barrier characteristics of TaN films deposited by using the remote plasma enhanced atomic layer deposition method , 2006 .

[196]  Se‐Hun Kwon,et al.  Plasma-Enhanced Atomic Layer Deposition of Ru–TiN Thin Films for Copper Diffusion Barrier Metals , 2006 .

[197]  Seokhoon Kim,et al.  Remote Plasma Atomic Layer Deposition of HfO2 Thin Films Using the Alkoxide Precursor Hf ( mp ) 4 , 2006 .

[198]  Seokhoon Kim,et al.  Effects of Remote Plasma Pre-oxidation of Si Substrates on the Characteristics of ALD-Deposited HfO2 Gate Dielectrics , 2006 .

[199]  W. Maeng,et al.  Thermal and plasma-enhanced ALD of Ta and Ti oxide thin films from alkylamide precursors , 2006 .

[200]  Seokhoon Kim,et al.  Effects of N2 remote plasma nitridation on the structural and electrical characteristics of the HfO2 gate dielectrics grown using remote plasma atomic layer deposition methods , 2006 .

[201]  Jin-seong Park,et al.  Growth mechanism and diffusion barrier property of plasma-enhanced atomic layer deposition Ti–Si–N thin films , 2006 .

[202]  J. Roh,et al.  Interfacial layer properties of HfO2 films formed by plasma-enhanced atomic layer deposition on silicon , 2006 .

[203]  Seokhoon Kim,et al.  Characteristics of HfO2 thin films deposited by plasma-enhanced atomic layer deposition using O2 plasma and N2O plasma , 2006 .

[204]  Sung Weon Kang,et al.  Comparative study on chemical stability of dielectric oxide films under HF wet and vapor etching for radiofrequency microelectromechanical system application , 2006 .

[205]  Youngdo Won,et al.  Effect of Nitrogen Incorporation in HfO2 Films Deposited by Plasma-Enhanced Atomic Layer Deposition , 2006 .

[206]  Yasuhiro Ohmura,et al.  Current status and future prospect of immersion lithography , 2006, SPIE Advanced Lithography.

[207]  Yan Borodovsky,et al.  Marching to the beat of Moore's Law , 2006, SPIE Advanced Lithography.

[208]  Hyungjun Kim,et al.  The application of atomic layer deposition for metallization of 65 nm and beyond , 2006 .

[209]  Seokhoon Kim,et al.  Characteristics of Atomic-Layer-Deposited HfO2 Films by Using a Remote Plasma on Pre-Deposited Hf Metal Layer , 2006 .

[210]  J. Robertson High dielectric constant gate oxides for metal oxide Si transistors , 2006 .

[211]  Youngdo Won,et al.  Characteristics of Al2O3 Thin Films Deposited Using Dimethylaluminum Isopropoxide and Trimethylaluminum Precursors by the Plasma-Enhanced Atomic-Layer Deposition Method , 2006 .

[212]  Youngdo Won,et al.  Initial reaction of hafnium oxide deposited by remote plasma atomic layer deposition method , 2005 .

[213]  Jane P. Chang,et al.  Radical-enhanced atomic layer deposition of Y2O3 via a β-diketonate precursor and O radicals , 2005 .

[214]  S. Yun,et al.  Effect of Plasma on Characteristics of Zirconium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition , 2005 .

[215]  B. Ahn,et al.  Interstitial Oxygen Incorporation into Silicon Substrate during Plasma Enhanced Atomic Layer Deposition of Al2O3 , 2005 .

[216]  Eui-Tae Kim,et al.  PLASMA-ENHANCED ATOMIC LAYER DEPOSITION OF ULTRATHIN Ga2O3-TiO2 GATE DIELECTRICS ON Si (001) Substrates , 2005 .

[217]  S. Yun,et al.  Characteristics of Aluminum Silicate Films Grown by Plasma-Enhanced Atomic Layer Deposition , 2005 .

[218]  Soon-Gil Yoon,et al.  Electrical characteristics of Ga2O3–TiO2 nanomixed films grown by plasma-enhanced atomic-layer deposition for gate dielectric applications , 2005 .

[219]  Kai-Erik Elers,et al.  TiCl4 as a Precursor in the TiN Deposition by ALD and PEALD , 2005 .

[220]  Seokhoon Kim,et al.  Characteristics of HfO2 thin films grown by plasma atomic layer deposition , 2005 .

[221]  Won-Jae Lee,et al.  Structural, electrical, and optical properties of transparent gallium oxide thin films grown by plasma-enhanced atomic layer deposition , 2005 .

[222]  A. Kellock,et al.  ROBUST TANX DIFFUSION BARRIER FOR CU-INTERCONNNECT TECHNOLOGY WITH SUBNANOMETER THICKNESS BY METAL-ORGANIC PLASMA-ENHANCED ATOMIC LAYER DEPOSITION , 2005 .

[223]  M. Ritala,et al.  Low-Temperature Deposition of Aluminum Oxide by Radical Enhanced Atomic Layer Deposition , 2005 .

[224]  Jane P. Chang,et al.  Controlled erbium incorporation and photoluminescence of Er-doped Y2O3 , 2005 .

[225]  Sbs Stephan Heil,et al.  Plasma-assisted atomic layer deposition of TiN monitored by in situ spectroscopic ellipsometry , 2005 .

[226]  Jane P. Chang,et al.  Surface reaction kinetics of metal β-diketonate precursors with O radicals in radical-enhanced atomic layer deposition of metal oxides , 2005 .

[227]  A. Furuya,et al.  Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition , 2005 .

[228]  Jane P. Chang,et al.  Plasma enhanced atomic layer deposition of HfO2 and ZrO2 high-k thin films , 2005 .

[229]  N. Kim,et al.  Surface and sensing properties of PE-ALD SnO2 thin film , 2005 .

[230]  A. Lichtenberg,et al.  Principles of Plasma Discharges and Materials Processing: Lieberman/Plasma 2e , 2005 .

[231]  J. Roh,et al.  Influence of oxidant source on the property of atomic layer deposited Al2O3 on hydrogen-terminated Si substrate , 2005 .

[232]  T. Aaltonen Atomic Layer Deposition of Noble Metal Thin Films , 2005 .

[233]  K. Yong,et al.  ZrO2-COATED SiC NANOWIRES PREPARED BY PLASMA-ENHANCED ATOMIC LAYER CHEMICAL VAPOR DEPOSITION , 2005 .

[234]  C. Hwang,et al.  Chemically Conformal ALD of SrTiO3 Thin Films Using Conventional Metallorganic Precursors , 2005 .

[235]  Seokhoon Kim,et al.  Deposition and Plasma Measurements of Zr-Oxide Films with Low Impurity Concentrations by Remote PEALD , 2005 .

[236]  Yong Ju Lee,et al.  Antioxidation properties of Ti0.83Al0.17N prepared using plasma-enhanced atomic layer deposition , 2005 .

[237]  W. Lanford,et al.  Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces , 2005 .

[238]  Chi-Sun Hwang,et al.  Ultrathin Film Encapsulation of an OLED by ALD , 2005 .

[239]  Jin Ho Lee,et al.  Low‐Temperature Growth of SiO2 Films by Plasma‐Enhanced Atomic Layer Deposition , 2005 .

[240]  Se‐Hun Kwon,et al.  PEALD of a Ruthenium Adhesion Layer for Copper Interconnects , 2004 .

[241]  Sun Jin Yun,et al.  PEALD of Zirconium Oxide Using Tetrakis(ethylmethylamino)zirconium and Oxygen , 2004 .

[242]  H. Jeon,et al.  Remote plasma-enhanced atomic-layer deposition of tiN by using TDMAT with a NH 3 plasma , 2004 .

[243]  Sun Jin Yun,et al.  Passivation of organic light-emitting diodes with aluminum oxide thin films grown by plasma-enhanced atomic layer deposition , 2004 .

[244]  S. Yun,et al.  Characteristics of TiO2 Films Prepared by ALD With and Without Plasma , 2004 .

[245]  Jung-Hee Lee,et al.  Device Characteristics of AlGaN/GaN MIS-HFET Using Al2O3–HfO2 Laminated High-k Dielectric , 2004 .

[246]  S. Yun,et al.  Characterization of AlON-TiON Stacked Insulators For ZnS:Mn Thin Film Electroluminescent Devices , 2004 .

[247]  Jin-seong Park,et al.  Plasma-enhanced ALD of titanium-silicon-nitride using TiCl4, SiH4, and N2/H2/Ar plasma , 2004 .

[248]  Sun Jin Yun,et al.  Electrical Properties of Alumina Films by Plasma-Enhanced Atomic Layer Deposition , 2004 .

[249]  C. Hwang,et al.  High-performance ultralow-temperature polycrystalline silicon TFT using sequential lateral solidification , 2004 .

[250]  Yong Ju Lee Formation of aluminum nitride thin films as gate dielectrics on Si (100) , 2004 .

[251]  C. Lavoie,et al.  The physical properties of cubic plasma-enhanced atomic layer deposition TaN films , 2004 .

[252]  Soon-Gil Yoon,et al.  Plasma-Enhanced Atomic Layer Deposition of SrTa2 O 6 Thin Films Using Sr [ Ta ( OC 2 H 5 ) 5 ( OC 2 H 4 OCH 3 ) ] 2 as Precursor , 2004 .

[253]  Soon-Gil Yoon,et al.  Low Voltage Switching Characteristics of 60 nm Thick SrBi2Ta2 O 9 Thin Films Deposited by Plasma-Enhanced ALD , 2004 .

[254]  Se‐Hun Kwon,et al.  Plasma-Enhanced Atomic Layer Deposition of Ruthenium Thin Films , 2004 .

[255]  S. George,et al.  Low-Temperature Al2O3 Atomic Layer Deposition , 2004 .

[256]  Yong Ju Lee,et al.  Growth of aluminum nitride thin films prepared by plasma-enhanced atomic layer deposition , 2004 .

[257]  Y. J. Kim,et al.  Preparation of TiN films by plasma assisted atomic layer deposition for copper metallization , 2004 .

[258]  Soon-Gil Yoon,et al.  Laser Treatment at Room Temperature for Improvement of Dielectric Properties in Plasma-Enhanced Atomic Layer Deposited TiO2 Thin Films , 2004 .

[259]  Soon-Gil Yoon,et al.  Very Thin TiO2 Films Prepared by Plasma Enhanced Atomic Layer Deposition (PEALD) , 2004 .

[260]  Hyungjun Kim,et al.  Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing , 2003 .

[261]  Seong-Il Kim,et al.  A New Pulse Plasma Enhanced Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier for Copper Interconnect , 2003 .

[262]  Y. J. Kim,et al.  Characteristics of Tungsten Carbide Films Prepared by Plasma-Assisted ALD Using Bis(tert-butylimido)bis(dimethylamido)tungsten , 2003 .

[263]  Hyungjun Kim,et al.  Plasma-enhanced atomic layer deposition of tantalum thin films: the growth and film properties , 2003 .

[264]  Steven M. George,et al.  Conformal Coating on Ultrahigh-Aspect-Ratio Nanopores of Anodic Alumina by Atomic Layer Deposition , 2003 .

[265]  H. Hughes,et al.  Radiation effects and hardening of MOS technology: devices and circuits , 2003 .

[266]  S. Yun,et al.  Insulators with High Stability for Electroluminescent Devices , 2003 .

[267]  Yong Ju Lee,et al.  Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN , 2003 .

[268]  Yangdo Kim,et al.  Characteristics of TiN Films Deposited by Remote Plasma-Enhanced Atomic Layer Deposition Method , 2003 .

[269]  M. Ritala,et al.  Atomic Layer Deposition of Platinum Thin Films , 2003 .

[270]  A. Kellock,et al.  Growth of cubic-TaN thin films by plasma-enhanced atomic layer deposition , 2002 .

[271]  C. Park,et al.  Characteristics of ZrO2 gate dielectric deposited using Zr t–butoxide and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method , 2002 .

[272]  Jong-Ho Lee,et al.  Plasma enhanced atomic layer deposition of SrTiO3 thin films with Sr(tmhd)2 and Ti(i-OPr)4 , 2002 .

[273]  Hyungjun Kim,et al.  Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer deposition , 2002 .

[274]  H. Kim,et al.  Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition , 2002 .

[275]  Yangdo Kim,et al.  ZrO2 Gate Dielectric Deposited by Plasma-Enhanced Atomic Layer Deposition Method , 2002 .

[276]  Mikko Ritala,et al.  Atomic layer deposition (ALD): from precursors to thin film structures , 2002 .

[277]  Seong M Cho,et al.  Electrical Properties of Dielectric and Ferroelectric Films Prepared by Plasma Enhanced Atomic Layer Deposition , 2002 .

[278]  S. Samukawa,et al.  On-Wafer Monitoring of Vacuum-Ultraviolet Radiation Damage in High-Density Plasma Processes , 2001 .

[279]  Soon-Gil Yoon,et al.  SrTa2O6 Thin Films Deposited by Plasma-Enhanced Atomic Layer Deposition , 2001 .

[280]  Seung-Ki Joo,et al.  Growth and characterization of aluminum oxide films by plasma-assisted atomic layer deposition , 2001 .

[281]  M. Ritala,et al.  Atomic Layer Deposition of Ta(Al)N(C) Thin Films Using Trimethylaluminum as a Reducing Agent , 2001 .

[282]  M. Lieberman,et al.  Electronegativity of low-pressure high-density oxygen discharges , 2001 .

[283]  Jin-seong Park,et al.  Plasma-enhanced atomic layer deposition of tantalum nitrides using hydrogen radicals as a reducing agent , 2001 .

[284]  S. Joo,et al.  Plasma-Assisted Atomic Layer Growth of High-Quality Aluminum Oxide Thin Films , 2001 .

[285]  S. Oyama,et al.  Chemical and Catalytic Properties of Ozone , 2000 .

[286]  S. Rossnagel,et al.  Plasma-enhanced atomic layer deposition of Ta and Ti for interconnect diffusion barriers , 2000 .

[287]  S. Adams,et al.  Surface and volume loss of atomic nitrogen in a parallel plate rf discharge reactor , 2000 .

[288]  M. Mozetič,et al.  Recombination of neutral oxygen atoms on stainless steel surface , 2000 .

[289]  M. Ritala,et al.  Growth of SrTiO3 and BaTiO3 Thin Films by Atomic Layer Deposition , 1999 .

[290]  Olof Forsén,et al.  Atomic layer deposited thin films for corrosion protection , 1999 .

[291]  M. Lieberman,et al.  Ion energy distributions in rf sheaths; review, analysis and simulation , 1999 .

[292]  Mikko Ritala,et al.  Controlled Growth of TaN, Ta3N5, and TaOxNy Thin Films by Atomic Layer Deposition , 1999 .

[293]  J. Shohet,et al.  Plasma vacuum ultraviolet emission in an electron cyclotron resonance etcher , 1999 .

[294]  M. Ritala,et al.  Atomic layer epitaxy—a valuable tool for nanotechnology? , 1999 .

[295]  M. Wertheimer,et al.  Vacuum ultraviolet to visible emission of some pure gases and their mixtures used for plasma processing , 1998 .

[296]  M. Ritala,et al.  Synthesis of oxide thin films and overlayers by atomic layer epitaxy for advanced applications , 1996 .

[297]  Steven M. George,et al.  Surface Chemistry for Atomic Layer Growth , 1996 .

[298]  E. Fogarassy,et al.  Spectroscopic study of the vacuum ultraviolet windowless photodissociation of silicon hydrides for silicon‐based film deposition , 1996 .

[299]  P. Balk,et al.  Nature of defects in the Si‐SiO2 system generated by vacuum‐ultraviolet irradiation , 1994 .

[300]  A. Tserepi,et al.  Two‐photon absorption laser‐induced fluorescence of H atoms: A probe for heterogeneous processes in hydrogen plasmas , 1994 .

[301]  K. Sugioka,et al.  Anticorrosion coating of the walls of metal pipes by digital growth of aluminum oxide , 1992 .

[302]  Y. C. Kim,et al.  Recombination of oxygen, nitrogen, and hydrogen atoms on silica: kinetics and mechanism , 1991 .

[303]  M. Keijser,et al.  Atomic layer epitaxy of gallium arsenide with the use of atomic hydrogen , 1991 .

[304]  P. Ranson,et al.  Study of volume and surface processes in low pressure radio frequency plasma reactors by pulsed excitation methods. I. Hydrogen–argon plasma , 1991 .

[305]  D. Olander,et al.  Reaction of atomic hydrogen with crystalline silicon , 1989 .

[306]  T. Takagi,et al.  Ion–surface interactions during thin film deposition , 1984 .

[307]  Y. Yung,et al.  Laboratory studies of uv emissions of H2 by electron impact. The Werner- and Lyman-band systems , 1982 .

[308]  Soo‐Hyun Kim,et al.  Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Isopropylmethylbenzene-Cyclohexadiene-Ruthenium and NH3 Plasma , 2011 .

[309]  Soo‐Hyun Kim,et al.  Formation of Nano-Crystalline Ru-Based Ternary Thin Films by Plasma-Enhanced Atomic Layer Deposition , 2011 .

[310]  C. Chung,et al.  Effect of DC Bias on the Plasma Properties in Remote Plasma Atomic Layer Deposition and Its Application to HfO2 Thin Films , 2011 .

[311]  Jin-seong Park,et al.  Improved oxygen diffusion barrier properties of ruthenium-titanium nitride thin films prepared by plasma-enhanced atomic layer deposition. , 2011, Journal of Nanoscience and Nanotechnology.

[312]  G. Dingemans,et al.  Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al2O3 , 2011 .

[313]  S. George Atomic layer deposition: an overview. , 2010, Chemical reviews.

[314]  Sbs Stephan Heil,et al.  Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes , 2010 .

[315]  W. Maeng,et al.  Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode , 2008 .

[316]  D. Duquette,et al.  Direct Plating of Cu on Pd Plasma Enhanced Atomic Layer Deposition Coated TaN Barrier , 2007 .

[317]  Jong-Wan Park,et al.  Lanthanum-oxide thin films deposited by plasma-enhanced atomic layer deposition , 2006 .

[318]  S. Yun,et al.  Electrical Properties of Aluminum Silicate Films Grown by Plasma Enhanced Atomic Layer Deposition , 2006 .

[319]  H. Jeon,et al.  Characteristics and compositional variation of TiN films deposited by remote PEALD on contact holes , 2005 .

[320]  M. Ritala,et al.  Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films , 2005 .

[321]  S. Yun,et al.  Low-Temperature Deposition of Aluminum Oxide on Polyethersulfone Substrate Using Plasma-Enhanced Atomic Layer Deposition , 2004 .

[322]  Yangdo Kim,et al.  Plasma-Enhanced Atomic-Layer Deposition of a HfO2 Gate Dielectric , 2004 .

[323]  Yangdo Kim,et al.  Remote plasma enhanced atomic layer deposition of TiN thin films using metalorganic precursor , 2004 .

[324]  J. Coburn,et al.  Fundamental beam studies of radical enhanced atomic layer deposition of TiN , 2003 .

[325]  Jin-seong Park,et al.  Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films , 2002 .

[326]  S. Haukka,et al.  Adsorption controlled preparation of heterogeneous catalysts , 1999 .

[327]  A. Grill Cold Plasma Materials Fabrication , 1994 .

[328]  J. Linnett,et al.  Recombination of atoms at surfaces. Part 5.—Oxygen atoms at oxide surfaces , 1959 .