NEMS based thermal management for 3D many-core system

Leakage power has become the dominant factor to the total power consumption when technology scales down to nano-region. Moreover, due to the exponential relationship between leakage power and temperature, positive feedback loop can cause thermal-runaway hazard. This poses a significant barrier for 3D integration of multi-cache-core processor, which has high I/O bandwidth but also has high leakage-power density and long heat-removal path. Nano-Electro-Mechanical Switches (NEMS) are among the most promising emerging devices to solve the thermal-runaway problem due to their zero leakage current and infinite sub-threshold slope. In order to have a proper control of thermal-runaway hazard for many-core system, this paper studies hybrid CMOS-NEMS designs of thermal buffer and power gating to reduce leakage power and thermal-runaway at thermal-time-constant scale. Experimental results show that our proposed NEMS based thermal management can effectively prevent the thermal-runaway in 3D multi-cache-core processor.

[1]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, ISCA 2006.

[2]  Vladimir Stojanovic,et al.  Integrated circuit design with NEM relays , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[3]  K. Roy,et al.  DRG-cache: a data retention gated-ground cache for low power , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[4]  Yiyu Shi,et al.  Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power , 2008, IEEE Trans. Very Large Scale Integr. Syst..

[5]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[6]  Hao Yu,et al.  Allocating power ground vias in 3D ICs for simultaneous power and thermal integrity , 2009, TODE.

[7]  H.-S. Philip Wong,et al.  Nanoelectromechanical (NEM) relays integrated with CMOS SRAM for improved stability and low leakage , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[8]  Kaustav Banerjee,et al.  Modeling and design of a low-voltage SOI suspended-gate MOSFET (SG-MOSFET) with a metal-over-gate architecture , 2002, Proceedings International Symposium on Quality Electronic Design.

[9]  Yu Zhou,et al.  Low power FPGA design using hybrid CMOS-NEMS approach , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[10]  Chip-Hong Chang,et al.  Cyber-Physical Thermal Management of 3D Multi-Core Cache-Processor System with Microfluidic Cooling , 2011, J. Low Power Electron..

[11]  Sungjun Im,et al.  Integrated Microchannel Cooling for Three-Dimensional Electronic Circuit Architectures , 2005 .

[12]  Kaustav Banerjee,et al.  Hybrid NEMS-CMOS integrated circuits: A novel strategy for energy-efficient designs , 2009, IET Comput. Digit. Tech..

[13]  Ranga Vemuri,et al.  Accurate energy breakeven time estimation for run-time power gating , 2008, ICCAD 2008.

[14]  H.-S.P. Wong,et al.  Analytical Modeling of the Suspended-Gate FET and Design Insights for Low-Power Logic , 2008, IEEE Transactions on Electron Devices.

[15]  C. Nicopoulos,et al.  Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, ISCA 2006.

[16]  Kevin Skadron,et al.  Microarchitectural Floorplanning for Thermal Management: A Technical Report , 2005 .

[17]  Tajana Simunic,et al.  Proactive temperature management in MPSoCs , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[18]  Elad Alon,et al.  Demonstration of Integrated Micro-Electro-Mechanical Relay Circuits for VLSI Applications , 2011, IEEE Journal of Solid-State Circuits.

[19]  Kai Ma,et al.  Temperature-constrained power control for chip multiprocessors with online model estimation , 2009, ISCA '09.

[20]  Li Shang,et al.  System-Level Dynamic Thermal Management for High-Performance Microprocessors , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.